单片机课程设计彩灯控制

单片机课程设计彩灯控制
单片机课程设计彩灯控制

- --

xxxxxxxxx

课程设计报告书

课程名称:单片机课程设计

课题名称:彩灯控制

专业:x

班级:x

学号:x

姓名:x

成绩:

x年x月x日

目录

1.设计目的3

2.设计要求和设计指标3

3.设计内容4

3.1硬件部分 4

3.2软件部分9

4.总结14.

主要参考文献15

摘要

近年来随着微控技术的日益完善和飞速发展,单片机的应用正在不断深入,它的应用必将导致系统控制技术从根本上发生变革,同时带动传统控制检测技术日益更新。它在工业控制、数据采集、智能化仪表、机电一体化、家用电器领域得到了广泛应用,极大地提高了这些领域的技术水平和自动化控制。在实际检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面的知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。

在社会经济快速发展,人们生活水平的日益提高的今天,对于LED灯花样的要求越来越高。像字体广告,电子宣传字幕……

1·设计目的

通过课程设计的教学实践,将课本所学应用于实际中,缩小理论与实际的差距,进一步学习,掌握单片机应用系统的有关知识,加深了解单片机的工作原理。初步掌握简单的单片机应用系统的设计、制作、调试的方法。使自己的设计水平和对所学的知识的应用能力以及分析问题、解决问题的能力得到全面提高。

2·设计要求及设计指标

要求

(1)用8盏LED灯,实现至少4种彩灯灯光效果(不含全部点亮,全部熄灭);(2)可以用输入按钮在几种灯光效果间切换;

(3)可以通过按钮暂停彩灯效果,再次按下相同按钮后继续之前的效果。

指标

1.按下按键1暂停流水灯的流水。再次按下恢复并继续原来效果

2.按下按键2则是一种流水花型,按下按键3则是第二种花型按下按键三则是

第三种花型。(按键1管暂停按键2,3,4分别对应一种流水方式)

3.按键2实现的流水方式:从两边开始两盏灯依次向中间移动一位到第四盏第五盏同时亮过之后全亮之后以相反的顺序完成流水。

3·设计内容

3.1硬件部分

1.8051型单片机的基本结构及功能

MCS——51 系列单片机内部包括CPU、存储器、并行接口、定时/计数器、中断系统。几部分集成在一个单片机上,其功能如下:

(1)一个8位中央处理器CPU,包括可以进行8位算术运算和逻辑运算ALU单元、8位累加器ACC、寄存器B和程序寄存器PSW等。

(2)片内数据存储器RAM(128B),用于存放可以读/写的数据。如果运算的中间结果、最终结果或预显示的数据等。

(3)片内程序存储器ROM/EPROM,用于存放程序、一些原始数据和表格。但是有些单片机内部不带有ROM/EPROM,如8031、8032等。

(4)四个8位并行I/O口P0—P3,每一个口可以用于输入或输出。

(5)两个16位定时/计数器,每个定时/计数器都可以设置成计数方式,以对外部事件

计数;也可以设置成定时方式,并可以根据计数或定时的结果实现计算机控制。(6)五个中断源,具有优先级的中断嵌套结构。两个为外部中断源INT0和INT1,三个内部中断源,即两个定时/计数中断和一个串行口中断。

(7)一个全双工通用异步接收发送器(URAT)串行接口,用于实现单片机与单片机或单片机与其他计算机之间串行通信。

(8)片内振荡器和时钟电路,时钟振荡电路是控制核心,为控制器提供时钟脉冲。但是石英晶体与微调电容要外接,时钟频率越高,单片机控制节拍就越快,运算速度也就越快。不同型号的单片机所需的振荡频也各有不同,MCS—51单片机最高允许工作频率为12MHZ。

2.8051单片机引脚功能

(1)电源引脚:电源引脚主要负责单片机的供电,有两根引脚。

(2)外接晶振或外部振荡器引脚:外接晶振或外部振荡器引脚主要负责为

单片机的运行提供时钟振荡器,主要有两根引脚。

(3)输入输出(I/O)端口引脚:8051提供了4个8位并行I/O端口,基本的功能和其他51系列单片机完全一致,部分引脚有扩展功能。

(4)复位、控制和选通引脚:复位、控制和选通引脚主要负责单片机程序复位、编程控制及外部程序存储器的选通

8051单片机

3.特殊功能寄存器

专用寄存器是专门为某些功能部件设计的寄存器。下面分别介绍这几种寄存器的功能。(1)程序计数器PC:程序计数器PC是一个16位二进制的程序地址寄存器,专门用来存放下一条需要执行指令的内存地址,能自动加1。

(2)累加器A:累加器A(或者ACC)是运算过程中的暂存寄存器,是一个8位二进制寄存器,用于提供操作数和存放操作结果。

(3)寄存器B:寄存器B一般用于乘除法操作指令,也是一个8位二进制寄存器,由8个触发器组成,与累加器A配合使用。

(4)程序状态寄存器(PSW):程序状态寄存器(PSW)是一个8位寄存器,用于存放指令执行后的有关状态,为后面的指令执行提供状态条件。

(5)堆栈指针SP:推栈指针SP是在片内RAM中开辟一个存储区域,专门存放堆栈栈顶的地址。

(6)数据指针DPTR:数据指针DPTR是一个16位寄存器,是由8位寄存器DPH和DPL 组合而成。

4.单片机复位电路

单片机的复位电路是促使单片机进入复位状态的硬件结构。单片机的复位是很重要的,复位操作可以完成单片机的初始化,也可使处于死机状态下的单片机重新开始运行。(1)复位要求

(2)上电复位电路

(3)手动加上电复位电路

(4)定时监视器(WDT,看门狗)复位

(5)复杂的复位电路

5.单片机中断系统

1)中断源

MCS—51单片机的中断源共有5个。其中,2个为外部中断源,3个为内部中断源。(1)INT0:外部中断0,中断请求信号由P3.2引脚输入。

(2)INT1:外部中断1,中断请求信号由P3.3引脚输入。

(3)T0:定时/计数器0溢出中断,对外部脉冲计数由P3.4引脚输入

(4)T1:定时/计数器1溢出中断,对外部脉冲计数由P3.5引脚输入

(5)串行口中断(包括串行接收中断RI和串行发送中断TI)

2)中断请求标志

TCON寄存器中与中断有关的位见表2

表2 TCON寄存器与中断有关的位

TF1:T1溢出中断请求标志位。当定时/计数器T1计数溢出后,由硬件使TF1置1,表示CPU请求中断。当CPU响应后,由硬件使TF1清0.

TF0:T0溢出中断请求标志位。其意义和功能与TF1类同

IE1:外部中断INT1中断请求标志位。当检测到P3.3引脚信号有效时,由硬件使IE1置

1,表示向CPU请求中断。当CPU响应该中断后,由硬件使IE1清0。

IE0:外部中断INT0中断请求标志位。其意义和功能与IE1类同。

IT1:外部中断INT1触发方式控制位,也称为外部中断有效方式选择。该为由用户设置。IT1=1时,选择边沿触发方式,即当P3.3引脚出现下跳变脉冲信号时,才是有效的中断请求信号;IT1=0时,选择电平触发方式,即当P3.3引脚出现低电平时,才是有效的中断请求信号。

IT0:外部中断INT0触发方式控制位,也称为外部中断有效方式选择。该为由用户设置。IT0=1时,选择边沿触发方式,即当P3.2引脚出现下跳变脉冲信号时,才是有效的中断请求信号;IT0=0时,选择电平触发方式,即当P3.2引脚出现低电平时,才是有效的中断请求信号。

3)中断允许控制

IE寄存器的地址是A8H,寄存器的内容及地址见表3

表3 寄存器的内容及地址

EA:中断允许总控制为。EA=0,表示CPU禁止所有中断,即所有的中断请求被屏蔽;EA=1,表示CPU开放中断,但每个中断源的情求是允许还是禁止,要由各自的中断允许位控制。

EX0:INT0中断允许控制位。EX0=0,禁止INT0中断;EX0=1,允许INT0中断。

EX1:INT1中断允许控制位。EX1=0,禁止INT1中断;EX1=1,允许INT1中断。ETO: T0中断允许控制位。ET0=0, 禁止TO中断:ET0=0,允许T0中断。

ET1 T1中断允许控制位。ET1=0, 禁止T1中断:ET1=0,允许T1中断。ES : 串行口中断允许控制位ES=0,禁止串行口中断; ES=1,允许串行口中断。4)中断优先级

IP 寄存器地址B8H,位地址为BFH~B8H. 寄存器的内容及位地址见表

位地址BF BE BD BC BB BA B9 B8

位符号/ / / PS PT1 PX1 PT0 PX0

其中,:PX0:INT0中断优先级的设定位

PT0:T0中断优先级的设定位

PX1:INT1中断优先级的设定位

PT1:T1中断优先级的设定位

PS 串行口中断优先级的设定位.

6.电路原理图

3.2软件部分

1.kile软件的使用

打开Keil程序,执行菜单命令“Project”→“New Project”创建“80C51单片机可控流水灯”项目,并选择单片机型号为AT89C51。

执行菜单命令“File”→“New”创建文件,输入汇编源程序,保存为“80C51单片机可控流水灯.ASM”。在Project栏的File项目管理窗口中右击

文件组,选择“Add Files to Group `Source Group`”,将源程序“80C51单片机可控流水灯.ASM”添加到项目中。

执行菜单命令“Project”→“Options for T arget `T arget 1`”,在弹出的对话框中选择“Output”选项卡,选中“Create HEX File”。在“Debug”选项卡中,选中“Use:Proteus VSM Simuslator”。

执行菜单命令“Project”→“Build T arget”,编译源程序。如果编译成功,则在“Output Window”窗口中显示没有错误,并创建了“80C51单片机可控流水灯.HEX”文件。

在已绘制好原理图的Proteus ISIS 菜单栏中,执行菜单命令“Debug”→“Use Remote Debug Monitor”将该项选中,使Proteus与Keil真正连接起来,使它们联合调试。

文件组,选择“Add Files to Group `Source Group`”,将源程序“80C51单片机可控流水灯.ASM”添加到项目中。

执行菜单命令“Project”→“Options for T arget `T arget 1`”,在弹出的对话框中选择“Output”选项卡,选中“Create HEX File”。在“Debug”选项卡中,选中“Use:Proteus VSM Simuslator”。

执行菜单命令“Project”→“Build T arget”,编译源程序。如果编译成功,则在“Output Window”窗口中显示没有错误,并创建了“80C51单片机可控流水灯.HEX”文件。

在已绘制好原理图的Proteus ISIS 菜单栏中,执行菜单命令“Debug”→“Use Remote Debug Monitor”将该项选中,使Proteus与Keil真正连接起来,使它们联合调试。

2.流程图

2.源程序

;P0接流水灯;P1.0~P1.3接键盘

K1 EQU P1.1

K2 EQU P1.2

K3 EQU P1.3

ORG 0000H

LJMP CHU

ORG 000BH

LJMP T0ZD

ORG 0300H

CHU: MOV R1,#0

MOV R2,#00H

MOV R7,#00H

MOV DPTR,#HX

MOV TH0,#3CH

MOV TL0,#0B0H

SETB ET0

MOV TMOD,#01H

SETB TR0

MAIN: LCALL KAI

SETB EA

CJNE R1,#20,FH;1S后换花型

LCALL HUAN

MOV R1,#00H

FH: JNB P1.1 ,G1

JNB P1.2 ,G2

JNB P1.3 ,G3

;JB P3.1,MAIN ;按下P3.1换花型

;ZJ: JNB P3.1,ZJ

;CPL P2.0

;JB P2.0,JB1

LJMP MAIN

G1: LCALL GQ1

LJMP MAIN

G2: LCALL GQ2

LJMP MAIN

G3: LCALL GQ3

LJMP MAIN

;LCALL Q1

;LCALL HUAN

;MOV R1,#00H ;重新1S后换花型

LJMP MAIN

GQ1: MOV DPTR,#HX

MOV R2,#00H

RET

GQ2 : MOV DPTR,#HX1

MOV R2,#00H

RET

GQ3 : MOV DPTR,#HX2

MOV R2,#00H

RET ; T0中断

T0ZD: MOV TH0,#3CH

MOV TL0,#0B0H

INC R1

RETI; 换花型

HUAN: MOV A,R2

MOVC A,@A+DPTR

MOV P0,A

INC R2

CJNE R2,#16,Qing

MOV R2,#00H

Qing: RET

Q1: ;花型

HX: DB7EH,0BDH,0DBH,0E7H,00H,0DBH,0BDH,07EH,7EH,0BDH,0DBH, 0E7H ,00H,0DBH,0BDH,07EH;两边开始两盏灯向中间依次移

HX1: DB7FH,00H,0BFH,00H,0DFH,00H,0EFH,00H,0F7H,00H,0FBH,00H, 0FDH,00H,0FEH,00H;1亮全亮2亮全亮3亮全亮4亮全亮.....

HX2: DB7FH,3FH,1FH,0FH,07H,03H,01H,00H,0FFH,01H,03H,07H,0FH, 1FH,3FH,7FH;依次点亮依次熄灭

HX3: DB 67H,33H,66H,77H,88H,22H,11H,99H;HE:DB HX,HX1,HX2,HX3 KAI: JB P1.0,KAISHI ;按下则全亮,不按则转移

MOV P0,#00H ;全亮

CLR EA ;保持之前效果----关闭中断----

DENG: JNB P1.0,DENG ;判断按下并松开按

E: JNB P1.0,PAN ;第二次按下P1.0

LJMP E

PAN: JB P1.0,KAI1;再按下松开后则继续之前效果

LJMP PAN

KAI1: MOV P0,A

KAISHI: RET

END

4·总结

本次课程设计我的课题是花样流水灯的设计,开始的几个星期我针对这个课题的任务要求从图书馆、上网等渠道获取相关信息,查找相关的参考资料,然后设定了本课题的设计方案。经过近多日的努力,终于将本次课程设计做完了,但由于水平有限,文中肯定有很多不恰当的地方,请老师指出其中的错误和不当之处,使我能做出改正,我会虚心接受。在本次课程设计过程中,我增强了自己的动手能力和分析能力。通过跟老师和同学的交流,也通过自己的努力,我按时完成了这次课程设计。在此过程中,我学会了很多,也看到了很多自己的不足之处。在以后的学习生活中,我会努力学习专业知识,完善自我,为将来的发展做好充分的准备。

通过这次单片机课程设计,我们不仅加深了对单片机理论的理解,将理论应用到实践当中去,同时也使我们认识到自身存在的不足之处,无论是理论还是实际设计上遇到处理能力还有待提高。更加详细和深刻的学习和巩固AT80C51和74LS373相关知识,弥补了以往学习中的漏洞。为以后的课程设计打好了坚实的基本和准备总之,在这次课程设计中,我受益匪浅,学到了很多书本上所没有的东西,懂得了理论和实际联系的重要性。在以后的学习中,我不仅要把理论知识掌握牢固,更要提高自己的动手能力和分析能力。

5·参考文献

[1] 胡汉才.单片机原理与接口技术[M].北京:清华大学出版社,1995.6.

[2] 楼然苗等.51系列单片机设计实例[M].北京:北京航空航天出版社,2003.3.

[3] 何立民. 单片机高级教程[M].北京:北京航空航天大学出版社,2001.

[4] 赵晓安. MCS-51单片机原理及应用[M]. 天津:天津大学出版社,2001.3.

[5] 肖洪兵. 跟我学用单片机[M].北京航空航天大学出版社,2002.8.

单片机节日彩灯控制器设计

邯郸学院课程设计报告 课程名称单片机 题目节日彩灯控制器的设计 学生 学生 学生 学生 指导教师 年级 专业 二级学院 邯郸学院 2015年 1 月 5 日

邯郸学院《单片机》课程设计任务书

摘要 节日彩灯是生活中常常的装饰物品,是我国普遍流行的传统的民间的综合工艺品。彩灯艺术也是灯的综合性的装饰艺术。在当今社会里,彩灯已经成为我们生活当中的一部分,能给我们带来视觉上的享受,还能美化我们的生活。彩灯控制器主要是通过产生有规律变化的脉冲信号来实现彩灯的各种变化,他集中的应用了单片机、LED、自动控制等技术,是典型的基于单片机的电子产品。本文以AT89C51单片机为控制核心,采用模块化的设计方案,运用LED彩灯、按键等组成电路,实现才在开启时满足不一样的闪亮方法及方式。按K1—开始,按此键则灯开始流动(由上而下)。K2—停止,按此键则停止流动,所有灯为暗。K3—上,按此键则灯由上向下流动。K4—下,按此键则灯由下向上流动。按K5—从亮到暗到亮循环。通过按键能方便使用者选择不一样的亮法。并用仿真软件进行仿真按不同的方式点亮LED。还有就是这节日彩灯的制作成本低、精确度高、装调容易,为节日增添气氛,具一定的市场价值,因此制作了此节日彩灯。 关键词:节日彩灯;单片机;LED;设计;仿真

目录 摘要 (3) 一、概述 (5) 二、任务要求 (5) 三、硬件电路设计与分析 (5) 1.AT89C51单片机 (5) 1.1 AT89C51结构 (5) 1.2 AT89S51引脚描述 (6) 2.键盘设计 (8) 3.定时器与复位模块 (8) 3.1时钟电路 (8) 3.2复位电路 (9) 四、软件设计与论证 (10) 五、功能仿真实现 (11) 七、心得体会 (14) 八、参考文献 (15) 附录 (15)

彩灯控制器的设计实验报告

专业班级 院系物理与电子信息学院 姓名学号同组人 实验室组号日期 成绩 课程单片机原理与应用指导老师肖鹏程 试验项目编号 试验项目名称多功能彩灯控制器的设计一、实验目的 1.熟悉Keil uVision2软件的安装和应用; 2.熟悉Proteus7.8软件的安装和应用; 3.掌握《单片机多功能彩灯控制器的设计》的编程、仿真和调试方法。 二、实验环境 1.微机一台; 2.Proteus7.8电路设计和仿真软件; 3.Keil uVision2编译和调试软件; 三、实验原理 图1是单片机多功能彩灯控制器的电路原理图,P2接8只LED,限流电阻为220Ω,时钟电路接在单片机的DIP18、DIP19,复位电路接在单片机的DIP9, DIP31接Vcc。 要求用C语言编写程序,使该电路的功能为,上电后8只LED的显示状态为:【○○○○○○○●】→【○○○○○○●○】→【○○○○○●○○】→【○○○○●○○○】→【○○○●○○○○】→【○○●○○○○○】→【○●○○○○○○】→【●○○○○○○○】→【○○○○○○○○】, 时间间隔为300mS,循环往复。

图1单片机输出控制电路原理图 多功能彩灯控制器的参考程序如下: #include unsigned char code table001[]={128,64,32,16,8,4,2,1,0}; void delay300ms(); main() { unsigned char m; while(1)

{ for(m=0;m<=8;m++) { P2=~table001[m]; delay300ms(); } } } void delay300ms() { unsigned char i,j,k; for(i=3;i>0;i--) for(j=200;j>0;j--) for(k=248;k>0;k--); } 四、实验步骤 1.安装Keil uVision2; 2.安装Proteus 7.8; 3.在Proteus ISIS环境下设计一个用单片机的I/O口(P2)作为Output控制8只LED的电路; 4.在Keil uVision2环境下,用C语言编写多功能彩灯控制器的程序,编译生成hex文件。当出现错误时,使用Debug调试和修改程序,直到生成hex文件。5.将生成的hex文件加载到单片机,运行仿真,观察现象,记录结果; 五、实验记录与处理 1. 多功能彩灯控制器的实验结果(仿真)如下: 时间LED工作状态时间LED工作状态 0 0

单片机设计节日彩灯控制器课程设计报告

单片机课程设计报告

用单片机设计节日彩灯控制器 一、设计任务与要求 本实验中采用汇编语言和89C51单片机实现几日彩灯控制器,在Keil uVision软件环境中编辑和调试节日彩灯程序,并在Proteus软件环境下仿真节日彩灯的硬件电路。可编程节日彩灯系统采用8个LED灯来模拟彩灯的显示,设计要求8个LED灯能以多种不同的方式变换的亮灭,以达到节日彩灯的效果。 本实验中彩灯具体的变换方式采用以下四种方式: 方式一:启动彩灯运行,按下与89C51单片机P1.2相连接的按钮,则彩灯按照由上而下的顺序依次点亮。 方式二:停止彩灯运行,按下与89C51单片机P1.3相连接的按钮,则彩灯全部熄灭。 方式三:向下依次点亮彩灯,按下与89C51单片机P1.4相连接的按钮,则彩灯按照由上向下的顺序依次点亮。 方式四:向上依次点亮彩灯,按下与89C51单片机P1.5相连接的按钮,则彩灯按照由下向上的顺序依次点亮。 二、设计方案 以80C51单片机为控制核心,采用模块化的设计方案,运用LED彩灯、按键等组成电路,实现彩灯在开启时满足不一样的闪亮方法。按键可以在彩灯使用的时候选择不同的亮法,使彩灯流动的方向改变,键一可以使彩灯由上而下开始流动,键二可以使彩灯停止,三号键可以使彩灯由上而下流动,四键则可以使彩灯由下而上流动。通过按键能方便使用者选择节日彩灯的开启、流动方向和停止。系统框图如下: 三、单片机设计节日彩灯控制器的硬件电路设计

单片机设计过程中用到的管脚说明: 1、VCC(40):供电电压。 2、GND(20):接地。 3、P1端口(P1.0-P1.7):P1口是一个内部提供上拉电阻的8位双向I/O口, P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高电平,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 4、P2端口(P2.0-P2.7):P2口为一个内部上拉电阻的8位双向I/O口,P2口 缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1” 时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 5、P3端口(P3.0-P3.7):P3口管脚是一个带有内部上拉电阻的8位的双向I/O 端口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入端时,由于外部下拉为低电平,P3口将输出电流(ILL)。P3口也可作为AT89C51的一些特殊功能口,其中P3.3可作为外部中断1输入。 6、XTAL1(19):反向振荡放大器的输入及内部时钟工作电路的输入。 7、XTAL2(18):来自反向振荡器的输出。 8、EA(31):外部程序存储器访问允许控制端。 打开PROTEUS的ISIS编辑环境,从PROTEUS中选取该电路所需要的元器件,放置元器件、放置电源和地、连线得到下图所示的电路原理图,再点菜单栏工具下拉的电气规则检查,当规则检查出现:“NETLIST GENERATED OK NO ERC ERRORD FOUND”,表示通过检查。电路设计完成。

《数字电路》课设彩灯循环控制电路设计

《数字电子技术课程设计》报告 ——彩灯循环控制电路设计 摘要 本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。 用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,与其相连接的LED会变亮。LED采用共阳极连接,并串上500Ω的电阻。电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。 电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。整体电路采用同步电路模式,采用TTL集成电路,电压V 均为5V。运用了所学的555定时器、译码器、计数器与逻辑门 cc 电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识与理解,在

实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,有利于今后对于专业课程的学习。 关键词:555定时器计数器译码器彩灯循环控制

8路LED彩灯控制电路设计报告

《8路LED彩灯控制》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师: 2011年 1 月8 日

目录 一、课程设计目的 (3) 二、课程设计题目描述和要求 (3) 三、课程设计报告内容 (3) 3.1 器件选择 (3) 3.2 实验电路图 (3) 3.3 原理分析 (4) 3.3.1 脉冲源产生模块 (4) 3.3.2 定时器模块 (4) 3.3.3 寄存器模块 (4) 3.4 具体思路和设计过程 (4) 3.4.1 设计思路 (4) 3.4.2 具体设计过程 (5) 四、焊接与调试 (6) 五、总结体会 (6)

一、课程设计目的 随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。而具体的课程设计(包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。 二、课程设计题目描述和要求 本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。 三.课程设计报告内容 3.1 器件选择 555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门 74ls20六个、导线若干。 3.2 实验电路图

节日彩灯控制器设计

石家庄铁道大学四方学院 集中实践报告书 课题名称 节日彩灯控制器设计 姓 名 吴春慧 学 号 20137762 系、 部 电气工程系 专业班级 方1353-2 指导教师 牛晓燕 2016 年 7 月 6 日 ※※※※※※※※ ※ ※ ※ ※※ ※※ ※ ※ ※ ※ ※※※※ ※ 2013级 单片机接口设计课程设计

一、设计任务及要求: 设计任务: 设计一个节日彩灯控制器,通过按钮控制彩灯的各种变化。 设计要求: 1.设计4个按键S0、S1、S2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2.由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED 的I/O口送出低电平,可实现题目要求的功能。 3.要求做出实物。 二、指导教师评语: 三、成绩 指导教师签名: 年月日

目录 第1章设计目的 (2) 第2章设计要求 (2) 第3章硬件电路设计 (2) 3.1 系统结构框图 (2) 3.2 STC89C52单片机 (3) 3.3 总电路图 (4) 第4章软件设计 (5) 4.1 主程序设计 (5) 4.2 LED灯子程序设计 (7) 4.2 延时子程序设计 (7) 4.3 源程序 (8) 第5章结论 (10) 参考文献 (11)

第1章设计目的 1、掌握单片机实际系统的开发步骤。 2、熟悉节日彩灯控制器的工作原理。 3、加深对单片机汇编语言的认识和理解,并会编程。 第2章设计要求 1、设计4个按键S0、S1、S 2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2、由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED的I/O口送出低电平,可实现题目要求的功能。 3、要求做出实物。 第3章硬件电路设计 3.1 系统结构框图 节日彩灯控制系统主要由单片机、LED显示器、开关及电源组成。其结构框图如图3-1所示。 八个LED灯显示器 开关单片机最小系统5V电源 图3-1系统结构框图

8路输出的彩灯循环控制电路数电说明书(内附电路图)

绪论 数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。 随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。不论是思想,还是视觉,人们都在追求更高的美。特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。 本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。 由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。 编者 2010年于太科大

目录 绪论 (1) 一、课程设计题目 (3) 二、课程设计目的 (4) 三、课程设计基本要求: (4) 四、课程设计任务和具体功能 (5) 五、工作原理 (5) 六、设计总框图 (6) 七、电路元器件的说明 (6) 八、总电路图 (27) 九、调试与检测 (28) 十、误差分析: (28) 十一、设计心得体会。 (28) 附录 (28) 参考文献 (28) 一、课程设计题目:8路输出的彩灯循环控制电路

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

multisim软件的数字电路——彩灯循环控制

数字电路课程设计报告 设计课题:彩灯循环控制器的设计 专业:电子信息科学与技术 班级: 20081421 学生姓名: 学号: 2008142115 学生姓名: 学号: 2008142134 指导教师: 二零一一年六月五日

一、实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 4.掌握multisim软件的操作并对设计进行仿真。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制 器的设计方法。 二、设计要求 1、有十只LED,L 0……L 9 2、显示方式 (1)先奇数灯依次亮 (2)再偶数灯依次亮 (3)依次循环变化 3、显示间隔0.5s,1s可调。 三、设计方案 1)课题的分析 此电路主要由五部分组成,其整体框图如图(一)所示。 图一

2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图(二)所示。 图二 (2)分频电路 用D触发器设置分频电路控制彩灯实现彩灯的显示时间。电路如图三 图三 其中开关C和B用来控制显示方式。

(3)计数器控制电路 用74LS161计数器转换脉冲信号用来控制彩灯的奇偶显示方式。 74LS161N 17 19 图四 (4)计数译码驱动电路 用74154译码74LS161计数器产生的信号驱动彩灯的显示。电路如图五: 74154N 4-16线译码器74154N中00-09接10个LED灯译码。(5)显示电路 用74154驱动彩灯的显示,电路如图六:

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件: 74LS160计数器、74HC390计数器、74HC139译码管、脉冲发生器、数码管和必要的门电路,可以选用其他的计数器和集成电路,但必须给出原理说明 要求完成的主要任务: 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 设计要求 ①打开电源时,控制器可自动清零。 ②每个数字的一次显示时间基本相等,这个时间在0.5s到2s范围内连续可调。 ③确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 ④用EWB软件或者multisim软件或者Quartus软件完成仿真。 指导教师签名: 2008 年 6月 2日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1主要任务 (2) 2技术要求 (2) 3基本组成方框图 (2) 4设计方案 (3) 4.1数列循环部分 (3) 4.2数列显示部分 (7) 4.3脉冲信号的产生 (8) 4.4方案的确定 (9) 5单元电路的设计及其原理 (9) 5.1数列循环电路的设计 (9) 5.2序列显示电路的设计 (10) 5.2.1十进制自然序列的显示电路 (10) 5.2.2奇数序列显示电路 (11) 5.2.3偶数序列显示电路 (11) 5.2.4音乐序列显示电路 (12) 5.3脉冲产生电路的设计 (13) 5.4二分频电路的设计 (14) 5.5总电路图的设计 (14) 6仿真结果 (16) 6.1脉冲产生电路的仿真 (16) 6.2二分频电路的仿真 (17) 7测试结果分析 (18) 8体会与心得 (19) 9元件清单 (20) 10参考文献 (21)

彩灯控制器电路设计报告

西安科技大学高新学院 毕业设计(论文) 题目彩灯控制器电路设计 院(系、部) 机电信息学院 专业及班级电专1202班 姓名张森 指导教师田晓萍 日期 2015年5月28日

摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践,日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉、使用方便、控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对于彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。 关键词:LED彩灯;STC-89C52单片机;彩灯控制器。

目录 1前言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 1.3总体方案设计与选择的论证 (2) 2节日彩灯控制器的设计 (4) 2.1核心芯片及主要元件功能介绍 (4) 2.1.1 AT89S52芯片 (4) 表1 (5) 2.1.2 74HC377芯片 (5) 2.1.3 74HC138芯片 (6) 2.2硬件设计 (7) 2.2.1直流电源电路 (7) 2.2.2按键电路 (8) 2.2.3时钟复位电路 (8) 2.2.4 LED显示电路 (9) 2.2.5硬件调试 (9) 2.3软件设计 (10) 3 总结 (15) 3.1实验方案设计的可行性、有效性 (15) 3.2设计内容的实用性 (15) 3.3心得 (16) 附录 (16) 参考文献 (18) 致谢 (19)

(完整word版)stm32单片机节日彩灯控制器

燕山大学 课程设计说明书 题目:节日彩灯控制器设计 学院(系):__________________________________________ 年级专业: __________________________________________ 学号:__________________________________________ 学生姓名:__________________________________________ 指导老师:__________________________________________ 答辩日期:__________________________________________

燕山大学课程设计(论文)任务书

摘要 节日彩灯是生活中常常的装饰物品,是我国普遍流行的传统的民间的综合工艺品。彩灯艺术也是灯的综合性的装饰艺术。在当今社会里,彩灯已经成为我们生活当中的一部分,能给我们带来视觉上的享受,还能美化我们的生活。彩灯控制器主要是通过产生有规律变化的脉冲信号来实现彩灯的各种变化,他集中的应用了单片机、LED、自动控制等技术,是典型的基于单片机的电子产品。本文以stm32单片机为控制核心,采用模块化的设计方案,运用LED彩灯、按键等组成电路,实现才在开启时满足不一样的闪亮方法及方式。按K1—开始,按此键则灯开始流动(由上而下)。K2—停止,按此键则停止流动,所有灯为暗。K3—上,按此键则灯由上向下流动。K4—下,按此键则灯由下向上流动。通过按键能方便使用者选择不一样的亮法。并用仿真软件进行仿真按不同的方式点亮LED。还有就是这节日彩灯的制作成本低、精确度高、装调容易,为节日增添气氛,具一定的市场价值,因此制作了此节日彩灯。 关键词:节日彩灯;单片机;LED;设计;仿真

彩灯循环显示电路

《数字电子技术课程设计》报告—彩灯循环控制器的电路设计 专业:电子信息工程 班级: 姓名: 学号: 指导教师: 2011年6月12 日

1.课程设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。巩固所学理论,提高动手能力、创新能力和综合设计能力。为今后工作奠定坚实的基础。 2.课程设计要求 2.1彩灯能够自动循环点亮。 2.2彩灯循环显示且频率快慢可调。 2.3该控制电路具有8路以上的输出。 3.电路组成框图 此电路主要由三部分组成 振荡电路计数驱动电路显示电路 4.元器件清单 元器件名称个数型号主要参数 集成芯片 1 4017BD-5V 集成芯片 1 LM555CN 电阻 3 1K,1K,300 接地 2 滑动变阻器 1 1K 电容 3 10nF,1uF,1nF 直流电源 1 5V 发光二极管10 LED 5.各功能块电路 5.1振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号。电路中555定时器组成多谐振荡器,输出一定频率的矩形脉冲。

U1 LM555CN GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2VCC 5V R11k|?R21k|?C110nF C2 1nF 20 4 VCC R31k|? Key=A 50% 1517 16 555定时器组成的多谐振荡电路 U4 LM555CN GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2 5.2 4017BD 工作原理 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1-O9)均为低电平。CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光,此时4017芯片则用CP0时钟输入端,用上升沿来计数。

简易彩灯控制电路课程设计报告

目录摘要1 1 设计要求1 1.1设计课题1 1.2主要容1 1.3技术要求1 2 系统组成及工作原理2 2.1系统组成框图2 2.2工作原理分析3 3 电路方案设计3 3.1电路图设计3 4 单元电路设计4 4.1时钟脉冲产生电路4 4.2分频电路的工作原理6 4.3状态机电路7 4.4移位输出电路8 4.5桥式整流电路9 4.6发光二级管9 结束语10 致11 参考文献12

附录13 附录1:元器件清单13 附录2:输出状态编码13 附录3:元件引脚图14 附录4:元件功能表15 附录5:总设计图17

简易彩灯控制器电路 摘要 彩灯控制器是用来使彩灯按照一定的形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用,它是一种很好的照明娱乐工具,应用前景较为广泛。本设计就是采用电子元件制作的一个简易的具有四种变换花型的彩灯控制器。 本电路系统由四部分组成,分别是: (1)时钟振荡电路,555定时器构成多谐振荡器; (2)分频电路,由四位二进制计数器74LS161组成,为D 触发器提供时钟; (3)状态机电路,由双D 触发器组成; (4)移位显示电路,由双向移位寄存器74194 和发光二极管组成,实现花型显示。 彩灯控制器实现方法多种多样,本次设计的这台彩灯控制器主要功能有:(1)可以控制8个以上的彩灯;(2)可以组成四种以上花型,并且每种花型能够连续循环两次,各种花型轮流显示。 本电路基于74系列简单逻辑门电路的组合,实现简易电子彩灯控制器电路,具有电路设计简单,成本低廉的特点。 关键词:时钟脉冲;分频;双D触发器;移位寄存器;计数器

1 设计要求 1.1设计课题 题目:简易彩灯控制器电路 1.2主要容 1.2.1 阅读相关文献。 1.2.2 学习电子制图软件的使用。 1.2.3 学会整理和总结设计文档报告。 1.2.4 学会如何查找器件手册及相关参数。 1.3 技术要求 1.3.1要求电路能够控制8个以上的彩灯。 1.3.2要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮流显示。

单片机节日彩灯设计,C语言版

单片机课程设计报告书 课题名称 节日彩灯控制器设计 姓 名 学 号 院、系、部 电气工程系 专 业 电子工程及其自动化 指导教师 2013年 7 月10日 ※※※※※※※※※ ※※ ※ ※ ※※ ※※※※※※※※※ 2010级单片机 课程设计

节日彩灯控制器设计 一、设计目的 1、了解节日彩灯控制器的工作原理 2、掌握电路板的实物焊接 3、掌握独立电源设计方法和原理 二、设计要求 1、设计4个按键S0、S1、S 2、S3, 2、S0—开始,按此键则灯开始流动(由上而下)。 3、S1—停止,按此键则停止流动,所有灯为暗。 4、S2—上,按此键则灯由上向下流动。 5、S3—下,按此键则灯由下向上流动。 三、硬件电路设计 3.1系统结构框图 图3-1 系统结构框图 节日彩灯控制系统主要由单片机、LED 灯、5V 电源及开关组成 3.2 STC89C52单片机最小系统 单片机最小系统包括:单片机,复位电路,晶振电路。 1、单片机的介绍: VCC :供电电压。 GND :接地。 P0口:P0口为一个8位漏级开路双向I/O 口,每脚可吸收8个TTL 门电流。当P0口的管脚第一次写“1”时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH 编程时,P0 口作为原码输入口,当FIASH 进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O 口,P1口缓冲器能接收输出4个TTL 门电流。P1口管脚写入“1”后,被内部上拉为高,可用作输入,P18个LED 灯显示器 单片机最小系统 开关

彩灯循环显示电路

计算机科学学院课程设计报告 课程数字逻辑 题目彩灯循环显示电路 年级2010级 专业计算机科学与技术 学号学生 任课教师 2014年 5 月26日

课程设计 题目 彩灯循环显示电路 验收时间验收 地点 9#307 指导 教师 小组 成员 具体分工备注 课题总体设计思想概述 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 这次的课程设计主要是用计数器来实现的,这个彩灯循环控制电路的实质就是要产生一系列有规律的数列,然后通过一个七段数码管显示出来。 而这次的内容还包括分电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设计的时候还用到了一个寄存器,可以利用它的输出端来控制四个计数器的工作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

设计目的 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数序列,音乐符号序列...... 如此周而复始,不断循环。 设计原理基本组成方框图: 这个设计主要靠计数器来实现的,电路的实质就是要产生一系列有规律的数列,然后通过一个七段数码管显示出来。运用计数器的不同的功能和不同的接发就可以实现不同的序列输出了。 通过电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然 序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设 计的时候还用到了一个以为寄存器,可以利用它的输出端来控制四个计数器的工 作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

课程设计报告(彩灯控制器)

西安文理学院机械电子工程系 课程设计报告 专业班级电子信息工程(1)班 课程数字电子技术 题目彩灯控制器 学号 学生姓名 指导教师 2010年 1月

西安文理学院机械电子工程系 课程设计任务书 学生姓名专业班级学号 指导教师职称教授教研室 课程数字电子技术 题目 彩灯控制器 任务与要求 1.掌握NE555定时器的原理及使用方法。 2.学习74LS138译码器的使用方法。 3.掌握CD4040计数器的使用方法。 4.按照设计图连好电路,实现所需功能。 5.攥写课程设计报告 开始日期 2009年12月28日完成日期2010年1月8日 2010年1月8日

目录 设计目的 (1) 设计任务和要求 (1) 总体设计方案 (2) 功能模块设计与分析 (5) 电路的安装与调试 (8) 实验仪器及元器件清单 (11) 心得体会 (10) 附录一系统电路图 (9)

一、设计目的 用CD4040芯片、LS138芯片、NE555芯片完成彩灯控制器。 二、设计要求和任务 通过循序渐进地独立完成数字电路的设计任务,加深对理论知识的理解,有效地提高了动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。重点提高在数字电路应用方面的实践技能,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 基本要求: (一).掌握数字逻辑电路分析和设计的基本方法 1.根据设计任务和指标,初选电路; 2.通过调查研究、设计计算,确定电路方案; 3.选测元器件,安装电路,独立进行试验,并通过调试改进方案; 4.分析课程设计结果,写出设计报告。 (二).培养一定自学能力和独立分析问题、解决问题能力 1.学会分析、找出解决问题的方法; 2.对设计中遇到的问题,能独立思考,查阅资料,寻找答案; 3.掌握一些测试电路的基本方法,课程设计中出现一般故障,能通过“分析、观察、判 断、试验、再判断”的基本方法独立解决; 4.能对课程设计结果进行分析和评价。 (三).掌握安装、布线、调试等基本技能 1.掌握常用的仿真软件,并能够利用仿真软件进行一定的电路调试、改进; 2.掌握数字电路布线、调试的基本技巧; 3.巩固常用仪器的正确使用方法。 (四).培养实践能力 通过严格的科学训练和工程设计实践,树立严肃认真、一丝不苟、实事求是的科学作风,并培养团结协作的精神。

基于-单片机的节日彩灯设计

单片机课程设计 题目: 院(系): 专业: 学号: 姓名: 指导教师:

摘要 彩灯控制器在我们的日常生活中有着重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次报告中设计的花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 查阅相关资料,用简单的输入输出端口等硬件,结合实际彩灯的亮灭控制过程进行设计。利用键盘上的灯实现灯的各种形式的变换,使彩灯变换的样式更多,克服了节日彩灯变换样式单调的缺点,使节日彩灯朝着变换多种多样的方式发展。 关键词:可编程节日彩灯;循环;控制高低电平;实现对彩灯的控制

目录 目录 引言 (4) 1、设计目的与要求 (5) 2、设计总体方案 (5) 3、模块电路的设计 (7) 4、独立设计部分 (10) 5、焊接制作与调试结果及其分析 (12) 6、设计过程中的问题及其解决方案 (13) 7、心得体会 (13) 8、改进工作展望 (14) 参考文献 (14) 附录一 (15) 附录二 (17) 附录三 (19) 1 设计目的与要求 1.1引言 在现代生活中,彩灯作为一种景观,安装在建筑物的适当地方。一是作为装饰增添

节日气氛,二是有一种广告宣传的作用:用在舞台上增强晚会灯光效果。 彩灯控制器在我们的日常生活中有着重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次报告中设计的花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 数码管是一种半导体发光器件,其基本单元是发光二极管。通过对其不同的管脚输入相对的电流,会使其发亮,从而显示出数字能够显示时间、日期、温度等所有可用数字表示的参数。由于它的价格便宜、使用简单,在电器特别是家电领域应用极为广泛,空调、热水器、冰箱等等。绝大多数热水器用的都是数码管,其他家电也用液晶屏与荧光屏。 可编程节日彩灯打破常规彩灯闪烁固定变化的现状,可根据人们不同的意愿进行编程设计出想要的变换的色彩效果。查阅相关资料,用简单的输入输出端口等硬件,结合实际彩灯的亮灭控制过程进行设计。利用键盘上的灯实现灯的各种形式的变换,使彩灯变换的样式更多,克服了节日彩灯变换样式单调的缺点,使节日彩灯朝着变换多种多样的方式发展。 1.2设计目的 通过课程设计使我们更进一步掌握微机原理与应用课程的有关知识,通过查阅资料,阅读程序,提高设计程序的能力及动手能力,使编程水平有一定的提高,同时也会提高我们通过动手进行硬件设计及程序设计从而提高解决实际问题的能力。 1.3实验要求———————————— 1. 用16盏以上的LED小灯,实现至少4种彩灯灯光效果(不含全部点亮,全部熄灭; 2. 手动模式与自动模式切换:设置一个按钮可以在自动模式和手动模式间切换; 3. 手动模式可以用输入按钮在几种灯光效果间切换; 4. 自动模式下自动在几种效果间切换的功能; 5. 可以通过按钮暂停彩灯效果,使小灯全亮并闪烁,再次按下相同按钮后继续之前的效果。 2 设计总体方案 2.1硬件设计的思路、原理、设计细节 用单片机最小系统控制彩灯电路用流水灯的方式实现彩灯自动闪烁,彩灯电路总共有32的LED共隐接法组成,彩灯电路有三个部分,八个LED组成“二”,十六个LED组成字母“G”,八个LED组成字母“O”。用P0口的P1.0、P1.1、P1.2、P1.3控制四个LED点亮或熄灭,P1.0、P1.1、P1.2、P1.3控制四个LED点亮或熄灭,用P1和P2控制字母“G”的点亮或熄灭,用P3控制字母“O”的点亮熄灭。 2.2、软件设计的思路、原理、设计细节 采用C语言编写控制程序,程序设计思路用流水灯先点亮“二”,然后再点亮字母“G”,最后点亮“O”。

相关文档
最新文档