一位全减器的VHDL设计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

一位全减器的VHDL 设计

理工学院03电信(2)班 黄金凤 030303074

一.实验目的

1. 熟悉Max+PlusII 和GW48EDA 开发系统的使用; 2. 掌握一位半减器的VHDL 设计;

3. 掌握一位半减器构建一位全减器的方法; 4. 元件例化语句的使用。 二.实验原理

由两个半减器和一个或门构成一个全减器。首先,一位半减器的逻辑表达式:

y

x out s y x y x y x diff =⊕=+=_

表一. 半减器的真值表 其次,一位全减器的逻辑表达式:

diff

in sub out s out sub diff

in sub diffr ∙+=⊕=____

表二.一位全减器的真值表

根据上述的真值表了解半减器和全减器,并设计出VHDL 的程序。 描述半减器的VHDL 的程序如下: ENTITY halfsub IS PORT(A,B:IN BIT;

T,C:OUT BIT); END halfsub;

ARCHITECTURE halfsub_arc OF halfsub IS BEGIN

PROCESS(A,B) BEGIN

T<= A XOR B AFTER 10 ns;

C <= (NOT A) AN

D B AFTER 10 ns;

END PROCESS;

END halfsub_arc;

其波形图如下:

描述或门的VHDL程序如下:

ENTITY orgate IS

PORT(A1,B1:IN BIT;

O1:OUT BIT);

END orgate;

ARCHITECTURE orgate_arc OF orgate IS

BEGIN

O1<= A1 OR B1;

END orgate_arc;

然后设计全减器, 以一些中间信号temp_T,temp_c1和temp_c2, 将两个半减器,一个或门的端口连接起来形成对全减器的结构描述。图10-5(b)所示虚线框有各元件之间的连线命名。下面是全减器的VHDL程序描述:ENTITY fullsub IS

PORT(I1,I2,C_IN:IN BIT;

FT,C_OUT:OUT BIT);

END fullsub;

ARCHITECTURE fullsub_arc OF fullsub IS

SIGNAL temp_T,temp_c1,temp_c2:BIT;

COMPONENT halfsub

PORT(A,B:IN BIT; T,C:OUT BIT);

END COMPONENT;

COMPONENT orgate

PORT(A1,B1:IN BIT; O1:OUT BIT);

END COMPONENT;

BEGIN

U0:halfsub PORT MAP(I1,I2,temp_T,temp_c1);

U1:halfsub PORT MAP(temp_T,C_IN,FT,temp_c2);

U2:orgate PORT MAP(temp_c1,temp_c2,C_OUT);

END fullsub_arc;

其波形图如下:

三.实验步骤

1.打开Max+PlusII软件,启动File/New菜单命令,选择Text Editor File,点击OK;

2.在空白文件中输入如上的实验原理描述半减器的VHDL的程序,并保存。

3.在菜单File/Save As中键入文件名“halfsub.vhd”, 点击OK;

4.启动Max+PlusII/Compiler,点击Start,编译结束,按“确定”。

5.再启动File/New菜单命令,选择Text Editor File,点击OK;在空白文件中输入如上的实验原理描述或门的VHDL的程序,并保存。

6.在菜单File/Save As中键入文件名“orgate.vhd”, 点击OK;

7.启动Max+PlusII/Compiler,点击Start,编译结束,按“确定”。

8.启动File/New菜单命令,选择Text Editor File,点击OK;在空白文件中输入如上的实验原理描述全减器的VHDL的程序,并保存。

9.在菜单File/Save As中键入文件名“fullsub.vhd”, 点击OK;

10.启动Max+PlusII/Compiler,点击Start,编译结束,按“确定”。

11.打开实验箱的电源,并把它和计算机相连。

11.启动Assign/Device,选择和实验箱相同的设备名,点击OK;

12.选用模式5,从PIO0~PIO7选择3个作为输入,从PIO8~PIO15中选择2个作为输出,根据实验箱配备的实验书,选择相应的引脚号输入“Assign/Pin/Location/Chip..”中。

13.启动File/Project中的Set Project to Current File,再启动Max+PlusII/Programmer,点击Configure。

14.根据实验箱相应的数码管的亮暗来判断正确与否。

15.实验结束关闭计算机和实验箱的电源。

四.实验仪器

计算机GW-48型EDA实验箱

五.实验总结

本实验是用VHDL的程序来仿真,根据实验箱上数码管的亮暗以达到全减器的功能。在实验过程中,要特别注意先编译再下载,要把程序的文件名改为和实体名一样的VHD文件才能使程序编译通过;在设置引脚时,要根据所选的模式,并在软件的引脚输入相应的实验仪的引脚号,引脚号输入一定要正确,才可以验证实验的准确与否;在编译程序时要下载所要运行的实验程序,所选的实验仪器名要正确,即要和所作的实验箱相同;选用模式的不同就要用不同的引脚号。

相关文档
最新文档