基于FPGA的面阵CCD驱动时序设计

基于FPGA的面阵CCD驱动时序设计
基于FPGA的面阵CCD驱动时序设计

基于Verilog的VGA驱动设计1时序分析

基于Verilog的VGA驱动设计(一)VGA时序分析 VGA时序分析 电阻DAC转换网络和640X480的VGA时序图: 图 1 扫描频率 显示器采用光栅扫描方式,即轰击荧光屏的电子束在CRT屏幕上从左到右(受水平同步信号HSYNC控制)、从上到下(受垂直同步信号VSYNC控制)做有规律的移动。光栅扫描又分逐行扫描和隔行扫描。电子束采用光栅扫描方式,从屏幕左上角一点开始,向右逐点进行扫描,形成一条水平线;到达最右端后,又回到下一条水平线的左端,重复上面的过程;当电子束完成右下角一点的扫描后,形成一帧。此后,电子束又回到左上方起点,开始下一帧的扫描。这种方法也就是常说的逐行扫描显示。 Horizonal Timing

图2 A (us) Line Period B (us) Sync pulse lenght C (us) Back porch D (us) Active video time E (us) Front porch Vertical Timing 图3 O (ms) Frame Period P (ms) Sync length Q (ms) Back porch R (ms) Active video time S (ms) Front porch Horizonal timing information 水平扫描时序

图4 Notes: ?Active area is actually an active area added with 6 overscan border pixels (in some other VGA timing tables those border pixels are included in back and front porch) Vertical timing information 垂直扫描时序 图5 Notes: ?Active area is actually an active area added with 4 overscan border lines (in some other VGA timing tables those border lines are included in back and front porch)

光电_线阵CCD驱动电路的FPGA时序设计 2

线阵CCD驱动电路的FPGA时序设计一,设计人员:姜小文姜虎彪黄志海孔敏 二,设计要求 设计一线阵CCD驱动时钟,用一输入的clk,驱动CCD、AD、FIFO 组成的整个CCD系统,并要求有一个复位端reset。 三,设计目的 本实验主要是基于FPGA设计线阵CCD器件复杂驱动电路和整个CCD的电子系统控制逻辑时序的方法,并给出时序仿真波形,通过对线阵CCD驱动电路的时序设计,了解一个系统设计的基本方法。四,介绍 CCD CCD是以电荷作为信号,而不同于其他大多数器件是以电流或者电压为信号,其基本功能是信号电荷的产生、存储、传输和检测。当光入射到CCD的光敏面时,CCD首先完成光电转换.即产生与入射光辐射量成线性关系的光电荷。CCD的工作原理是被摄物体反射光线到CCD器件上。CCD根据光的强弱积聚相应的电荷,产生与光电荷量成正比的弱电压信号,经过滤波、放大处理,通过驱动电路输出一个能表示敏感物体光强弱的电信号或标准的视频信号。基于上述将一维光学信息转变为电信息输出的原理,线阵CCD可以实现图像传感和尺寸测量的功能。其显著特点是:1.体积小重量轻;2.

功耗小,工作电压低,抗冲击与震动,性能稳定,寿命长;3.灵敏度高,噪声低,动态范围大;4.响应速度快,有自扫描功能,图像畸变小,无残像;5.应用超大规模集成电路工艺技术生产,像素集成度高,尺寸精确,商品化生产成本低。因此,许多采用光学方法测量外径的仪器,把CCD器件作为光电接收器。 五,设计思路 元器件选择 1、CCD:sonyILX511 2、AD:Analog Devices --- AD9224 3、FIFO:Integrated Device Technology --- IDT7204

线阵_CCD_驱动的FPGA时序设计

线阵 CCD 驱动的FPGA时序设计 实验组成员:袁航周杰赵宁杨剑波 摘要:CCD,英文全称:Charge-coupled Device,中文全称:电荷耦合元件。可以称为C CD图像传感器。CCD是一种半导体器件,能够把光学影像转化为数字信号。 CCD上植入的微小光敏物质称作像素(Pixel)。一块CCD上包含的像素数越多,其提供的画面分辨率也就越高。CCD的作用就像胶片一样,但它是把图像像素转换成数字信号。CCD上有许多排列整齐的电容,能感应光线,并将影像转变成数字信号。由于CCD的转换效率、信噪比等光电特性只有在合适的时序驱动下才能达到设计所规定的最佳值,输出稳定可靠的信号,因 此,驱动电路的设计也就成为其应用中的关键问题之一。 关键词:CCD;时序;驱动仿真 一、实验设计要求 设计一线阵CCD驱动时钟,用一输入的clk,驱动CCD、AD、FIFO组成的整个CCD系统,并要求有一个复位端reset。 二、实验目的 本实验主要是设计基于FPGA设计线阵CCD器件复杂驱动电路和整个CCD的电子系统控制逻辑时序的方法,并给出时序仿真波形,通过对线阵CCD驱动电路的时序设计,了解一个系统设计的基本方法,加深了解时序电路的设计方法。 三、实验设备 ccd线阵:sonyILX511 AD:Analog Devices --- AD9224 FIFO:Integrated Device Technology --- IDT7204 Cypress --- CY7C460A 四、背景介绍 AD 电路里面的模拟信号转换为数字信号的电路简称AD电路。 FIFO 英文First In First Out 的缩写,是一种先进先出的数据缓存器,他与普通存储器的区别是没有外部读写地址线,这样使用起来非常简单,但缺点就是只能顺序写入数据,顺序的读出数据,其数据地址由内部读写指针自动加1完成,不能像普通存储器那样可以由地址线决定读取或写入某个指定的地址。CCD CCD是以电荷作为信号,而不同于其他大多数器件是以电流或者电压为信号,其基本功能是信号电荷的产生、存储、传输和检测。当光入射到CCD的光敏面时,CCD首先完成光电转换.即产生与入射光辐射量成线性关系的光电荷。CCD 的工作原理是被摄物体反射光线到CCD器件上。CCD根据光的强弱积聚相应的电荷,产生与光电荷量成正比的弱电压信号,经过滤波、放大处理,通过驱动电路输出一个能表示敏感物体光强弱的电信号或标准的视频信号。基于上述将一维光学信息转变为电信息输出的原理,线阵CCD可以实现图像传感和尺寸测

基于CPLD的线阵CCD驱动时序的设计与实现

收稿日期:2007-10 作者简介:林德辉(1973—),男,硕士,研究方向为嵌入式系统和CP LD 设计 。 图1 TC D1208AP 电路图 图2 TCD1208AP 的驱动时序图 基于CP LD 的线阵CC D 驱动时序的设计与实现 林德辉,道克刚,钟绍俊 (中国计量学院机电工程分院,浙江杭州310018) 摘要:介绍一种基于CP LD 芯片EP M7128S LC84-15的线阵CC D -TC D1208AP 的驱动设计方法,详细阐述了逻辑设计原理,给出了时序仿真图形,并给出实际波形。实践证明,该设计方法具有一定的使用价值。 关键词:线阵CCD;CP LD;驱动时序设计 中图分类号:T M930 文献标识码:B 文章编号:1006-2394(2008)04-0022-02 D esi gn and I m ple m en t a ti on of L i n ear CCD D r i ver Ba sed on CPLD L IN De 2hui,DAO Ke 2gang,ZHONG Shao 2jun (Dep t .of Mechatr onics Engineering,China I nstitute of Metr ol ogy,Hangzhou 310018,China ) Abstract:A driving design method of linear CCD T CD1208AP based on the CP LD chi p of EP M7128S LC84-15is described in this paper .The p rinci p le of l ogic design is given in detail .The diagra m of ti m ing sequence si m ulati on is p resented and the p ractical ti m ing sequence is dis p layed .The fact de monstrates that this method has s ome p ractical value . Key words:linear array CCD;CP LD;design of driving ti m ing sequence 本文介绍一种采用美国ALTERA 公司的MAX7000S 系列CP LD 芯片EP M7128利用VHDL 语言编程实现T CD1208AP 的驱动设计方法。 1 TCD 1208AP 的驱动时序分析 日本T OSH I B A 公司的T CD1208AP 芯片具有优良的光电特性,有2160个像元,其电路图如图1所示。 T CD1208AP 的驱动时序图如图2所示。由T C D1208AP 的时序图可以看出,TCD1208AP 是采用两相驱动脉冲工作,时序脉冲驱动电路提供4路工作脉冲,即积分脉冲SH,电荷转移脉冲<1、<2,输出复位脉冲RS 。通过查阅手册可知TCD1208AP 的最佳工作频率为1MHz 。该 器件具有2160位有效像元,正常工作时有52个虚单元输出(DUMMY OUTP UTS )信号(含暗电流信号)。 在时序分析阶段需要确定SH 、<1、<2和RS 四个信号的严格时序关系,还需要参考图3所示的时序要求。 根据图2和图3即可进行时序波形的 ? 22?仪表技术 2008年第4期

相关主题
相关文档
最新文档