FPGA_三人投票表决器实验报告

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验报告

一.实验名称

三人投票表决器的实现

二.实验目的

1)熟练掌握QUARTUSII的操作方法

2)了解VHDL程序书写基本语法规则

3)熟悉原理图绘制的方法

4)学会波形仿真验证结果

三.实验原理

设开关状态0,1代表三人投票情况s1,s2,s3,二极管的亮灭代表投票结果win,lose。画卡诺图(表1):

S1s2s3 00 01 11 10

0 0 0 1 0

1 0 1 1 1

表格 1

化简卡诺图得:win=s1s2+s2s3+s1s3

则:lose=~win

四.实验过程

1)创建工程

2)新建VHDL文件,输入程序,结果见图表1:

图表 1

3)编译工程,查看RTL,见图表2

图表2

4)创建波形仿真文件,设置s1,s2,s3的波形,进行仿真,查看结果见图表3:

图表3

5)投票表决器除了可以用VHDL语言实现外还可以用原理图进行实现,见图表4:

图表4

5)用原理图法的实现接下来步骤同3)、4)

6)引脚分配

7)下载到试验箱,看结果

五.实验总结

注意事项:

1)修改完成VHDL文件或原理图文件后在进行下一步之前要对整个工程进行编译构建

2)在波形仿真的时候选择好每个引脚的波形后按波形仿真按钮而不是编译按钮

3)在同一个工程下各个新建文件必须与工程同名

相关文档
最新文档