二相步进电机控制系统的设计

二相步进电机控制系统的设计
二相步进电机控制系统的设计

摘要

随着微电子和计算机技术的发展,步进电机的需求量与日俱增,它广泛用于打印机、电动玩具等消费类产品以及数控机床、工业机器人、医疗器械等机电产品中,其在各个国民经济领域都有应用。研究步进电机的控制系统,对提高控制精度和响应速度、节约能源等都具有重要意义。

本控制系统的设计,由硬件设计和软件设计两部分组成,完成二相步进电机的控制。其中,硬件设计主要包括单片机系统、按键控制模块、步进电机驱动模块、数码显示模块等功能模块的设计,以及硬件电路在PROTUS上的仿真。软件设计包括主程序以及各个模块的控制程序,最终实现对步进电机转动方向及转动模式(四拍,八拍)的控制,并且将步进电机的步进数动态显示在LED数码管上。本系统具有智能性、实用性及可靠性的特点。

关键词:二相步进电机单片机四拍八拍 LED显示

Abstract

With the development of microelectronics and computer art, step-by-step electric motor need amounts increase with each passing day , it is used for the products consuming a kind such as printer , electricity-driven toy broadly and numerical control machine tool , electromechanical products such as industry robot , medical apparatus and instruments are hit by, the person applies to each national economy field It both. Study step-by-step electric motor navar , having importance to improving under the control of accuracy and responding to speed , the energy saving and so on. Control systematic design originally, the made up of designing two parts from the hardware design and the software , controlling accomplishing two-phase step-by-step electric motor. And among them, the hardware design includes the monolithic machine system mainly , button under the control of module , step-by-step electric motor drive function module design such as module , numerical code display module, hardware circuit emulation on PROTUS. The software designs under the control of procedure including the host procedure and each modules , realizes controlling turning direction to step-by-step electric motor and turning the pattern (four shoot , eight shoots) ultimately , step-by-step electric motor step-by-step number development is demonstrated and on LED numerical code. System has intelligence , pragmatism and the reliability characteristic.

Keywords:Electric motor MCU Four shoots Eight shoots LEDdisplay

目录

摘要 (2)

1总体分析与解决方案 (1)

1.1问题的提出与简述 (1)

1.2设计目的级系统功能 (1)

2 硬件电路设计模块 (2)

2.1单片机系统原理 (2)

2.2二相步进电机工作原理分析 (5)

2.3 L298驱动电路设计 (7)

2.4 四位LED数码管显示设计 (7)

2.5总体硬件仿真设计 (10)

3软件设计模块 (11)

3.1整体流程分析与设计 (11)

3.2步进电机四拍,八拍流程分析与设计 (11)

3.3显示模块流程分析与设计 (14)

4 系统调试运行与仿真 (15)

5 小结与心得体会 (16)

参考文献 (17)

附录 (18)

二相步进电机控制系统的设计

1总体分析与解决方案

1.1问题的提出与简述

如今,电动机在工农业生产、人们日常生活中起着十分重要的作用。步进电机是最常见的一种控制电机,在各领域中得到广泛应用。步进电机作为执行元件,是机电一体化的关键产品之一, 广泛应用在各种自动化控制系统中。

随着微电子和计算机技术的发展,步进电机的需求量与日俱增,在各个国民经济领域都有应用。步进电机是一种将电脉冲转化为角位移的执行机构。当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度(称为“步距角”),它的旋转是以固定的角度一步一步运行的。可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;同时可以通过控制脉冲频率来控制电机转动的速度和加速度,从而达到调速的目的。步进电机可以作为一种控制用的特种电机,其优点是结构简单、运行可靠、控制方便。尤其是步距值不受电压、温度的变化的影响、误差不会长期积累的特点,给实际的应用带来了很大的方便。它广泛用于消费类产品(打印机、照相机)、工业控制(数控机床、工业机器人)、医疗器械等机电产品中。研究步进电机的控制和测量方法,对提高控制精度和响应速度、节约能源等都具有重要意义。为此,本文设计了一个步进电机控制系统,可以实现对步进电机转动方式和转动方向的控制。

1.2设计目的及系统功能

本设计的目的是以单片机为核心设计出一个二相步进电机控制系统。本系统采用ATmega128作为控制单元,通过按键实现对二相步进电机转动方向及转动模式的控制,并且将步进电机的步进步数动态显示在LED数码管上。

通过本课题,查阅相关资料,由于本学期所学单片机课程型号为ATmega128,了解了ATmega128单片机控制的一些基本技术,掌握其控制系统的分析方法与实现方法,能对单片机外围电路设计进行系统学习与掌握;另一方面,通过设计步进电机控制系统的硬件电路,控制程序和相应的电路图,以此培养自己的自学和动手能力,从而为今后参加工作或进一步深造打下良好的基础。设计的步进电机

控制系统有以下功能:

1. 二相步进电机采用双极性(H桥)控制

2.用K0-K1作为通电方式选择键,K0为四拍,K1为八拍

3. 用K2作为启动/停止控制键

4. 用K3作为方向控制

5. 用4位LED数码管显示工作步数

6. 用3个发光二极管显示状态:正转时红灯亮,反转时黄灯亮,不转时绿

灯亮

考虑到二相步进电机需采用双极性控制,故电机的驱动模块使用芯片L298实现,接线简洁,稳定性好。选定好设计方案后,可以由分析得到系统的总体原理框图如下所示:

图1系统总体原理框图

2硬件电路设计模块

2.1 单片机系统原理

本次课题采用的单片机型号是ATmega128,ATmega128为基于AVR RISC结构的8位低功耗CMOS微处理器。由于其先进的指令集以及单周期指令执行时,ATmega128 的数据吞吐率高达1 MIPS/MHz,从而可以缓减系统在功耗和处理速度之间的矛盾。AVR 内核具有丰富的指令集和32个通用工作寄存器。所有的寄存器都直接与算逻单元(ALU) 相连接,使得一条指令可以在一个时钟周期内同时访问两个独立的寄存器。这种结构大大提高了代码效率,并且具有比普通的复杂指令集微处理器高10 倍的数据吞吐率。ATmega128 具有如下特点:128K 字节的系统内可编程Flash( 具有在写的过程中还可以读的能力,即RWW)、4K字节的EEPROM,4K字节

的SRAM、53个通用I/O 口线、32个通用工作寄存器、实时时钟RTC、4个灵活的具有比较模式和PWM 功能的定时器/ 计数器(T/C)、两个USART、面向字节的两线接口TWI、8通道10位ADC( 具有可选的可编程增益)、具有片内振荡器的可编程看门狗定时器、SPI串行端口、与IEEE 1149.1 规范兼容的JTAG测试接口( 此接口同时还可以用于片上调试),以及六种可以通过软件选择的省电模式。

ATmega128 AVR有整套的开发工具,包括C编译器,宏汇编,程序调试器/ 仿真器和评估板。芯片引脚图如下所示:

图2 ATmega128的引脚图

本次课设所使用的单片机功能主要有单片机的I/O口以及定时器/计数器功能。作为通用数字I/O 使用时,所有AVR I/O 端口都具有真正的读- 修改- 写功能。这意味着用SBI 或CBI 指令改变某些管脚的方向( 或者是端口电平、禁止/ 使能上拉电阻) 时不会无意地改变其他管脚的方向( 或者是端口电平、禁止/ 使能上拉电阻)。输出缓冲器具有对称的驱动能力,可以输出或吸收大电流,直接驱动LED。所有的端口引脚都具有与电压无关的上拉电阻,并有保护二极管与VCC 和地相连。每个端口都有三个I/O 存储器地址:数据寄存器–PORTx、数据方向寄存器–DDRx 和端口输入引脚–PINx。数据寄存器和数据方向寄存器为读/ 写寄存器,而端口输入引脚为只读寄存器。当寄存器SFIOR 的上拉禁止位PUD

置位时所有端口的全部引脚的上拉电阻都被禁止。DDxn 位于DDRx 寄存器,PORTxn 位于PORTx 寄存器, PINxn 位于PINx 寄存器。DDxn 以来选择引脚的方向。当DDxn 为"1“ 时, Pxn 配置为输出;否则为输入。当引脚配置为输入时,若PORTxn 为"1“,上拉电阻将使能。如果需要关闭这个上拉电阻,可以将PORTxn 清零,或者将这个引脚配置为输出。复位时各引脚为三态,即使此时没有时钟在运行。当引脚配置为输出时,若PORTxn 为"1“,引脚输出高电平("1“),否则输出低电平(“0“)。在( 高阻态) 三态({DDxn, PORTxn} = 0b00) 输出高电平({DDxn, PORTxn} = 0b11) 两种状态之间进行切换时,上拉电阻使能({DDxn, PORTxn} = 0b01) 或输出低电平({DDxn,PORTxn} = 0b10) 这两种模式必然会有一个发生。通常,上拉电阻使能是完全可以接受的,因为高阻环境不在意是强高电平输出还是上拉输出。如果使用情况不是这样子,可以通过置位SFIOR 寄存器的PUD 来禁止所有端口的上拉电阻。在上拉输入和输出低电平之间切换也有同样的问题。必须选择高阻态({DDxn,PORTxn} = 0b00) 或输出高电平({DDxn, PORTxn} = 0b11) 作为中间步骤。其PROTUS仿真图如下所示:

图3 ATmega128的仿真图

本次课设采用单片机的定时器/计数器功能的CTC模式, T/C(TCNT0)和输出

比较寄存器(OCR0)为8位寄存器。中断请求信号位于定时器中断标志寄存器TIFR。与定时器相关的所有中断都可以通过定时器中断屏蔽寄存器TIMSK 单独进行屏

蔽。在CTC 模式(WGM01:0 = 2) 里OCR0 寄存器用于调节计数器的分辨率。当计数器的数值TCNT0 等于OCR0 时计数器清零。OCR0 定义了计数器的top 值,亦即计数器的分辨率。这个模式可以在极大程度上控制比较匹配输出的频率,也简化了外部事件计数的操作。CTC 模式的时序图如下所示。计数器数值TCNT0一直增加直到TCNT0与OCR0匹配,然后TCNT0 清零。

图4 CTC 模式的时序图

2.2 二相步进电机工作原理分析

步进电机一般分为永磁式(PM)、反应式(VR)和混合式(HB)3种类型。目前,二相混合式步进电机的应用最为广泛。图5为二相六线式步进电机的工作原示意图。由图可知,它有2个绕组,且每个绕组都有一个中间抽头。因此,二相步进电机也就有了6根引线。当电机中的绕组通电后,其定子磁极产生磁场,将转子吸合到相应的磁极处。若绕组在控制脉冲的作用下,通电方向使定子在顺时针方向轮流产生磁场,则电机可顺时针转动;通电方向使定子在逆时针方向轮流产生磁场,则电机可逆时针转动。控制脉冲每作用一次,通电方向就变化一次,使电机转动一步,即一个步距角。脉冲频率越高,电机转动也就越快。

本次课设所使用的二相步进电机需要采用双极性的接法。双极性则是指步进电机线圈中电流的流动方向不是单向的,即绕组线圈中的电流有时沿某一方向流动,有时按相反方向流动。步进电机的双极性驱动电路如图2-5所示它使用8个晶体管来驱动2组相位。双极性驱动电路可以同时驱动四线式或六线式的二相步进电机。对于二相六线式步进电机而言, 2个绕组的中间抽头V dd1和V dd2都悬空。根据步进电机的工作原理,当控制器给驱动器发出脉冲信号时,驱动器经过环形分配器和功率放大后,电机绕组通电的顺序为B B A A B B A A →→→,其4个状态

按顺序周而复始进行变化,电机转动; 若通电时序就变为A A B B A A B B →→→时,电机就逆向转动。步进电机运转时,当达林顿管Q1和Q4导通时,线圈中电流方向为A →A ;当林顿管Q2和Q3导通时,线圈中电流方向为A →A 。可见,步进电机线圈中的电流方向在运转过程中是不断改变的。

图5 二相步进电机原理图

图6 双极性驱动电路

任务要求需要对二相步进电机进行四拍,八拍的控制。其两者的区别在于

通电时序的不一样,四拍的通电方式为:B B A A B B A A →→→,而八拍需要在此基础上进行细分,在中间插入,其通电方式为:A A B B B B BB A A A A →→→

A BA

B B B B B A A A A →→→→。由对应的通电方式,在结合图6,便可以得到对应的单片机管脚P1.0,P1.1,P1.2,P1.3的电平变化情况,绘制出步进电机的四拍,八拍控制方式表格。如下所示:

表1 步进电机四拍控制通电方式

表2 步进电机八拍控制通电方式

由上述所得表格,便可以通过控制单片机I/O口输出高低电平变化来实现步进电机的四拍,八拍运转。在编写程序时,设置好控制字,在I/O口做循环输出,便实现了单片机对步进电机的控制。由于单片机单独代负载能力较差,步进电机与单片机之间需要接入步进电机的驱动电路。

2.3 L298驱动电路设计

由课题任务要求可知,二相步进电机需采用双极性(H桥)控制,故考虑使用芯片L298来驱动步进电机。L298N 为双全桥步进电机专用驱动芯片,内部包含4信道逻辑驱动电路,是一种二相和四相步进电机的专用驱动器,可同时驱动2个二相或1个四相步进电机,内含二个H-Bridge 的高电压、大电流双全桥式驱动

器,接收标准TTL逻辑准位信号,可驱动46V、2A以下的步进电机,且可以直接透过电源来调节输出电压;此芯片可直接由单片机的IO端口来提供模拟时序信号。在接入步进电机时,OUTl,OUT2 ,OUT3,OUT4接二相步进电机的A,A,B,B,二相步进电机的对应管脚以图6为准,input1~input4接单片机的I/O口,用来控制单片机的正反转以及四拍,八拍通电方式。芯片的内部结构如下图所示:

图7 L298内部结构图

由图6与图7比较可以看出,L298内部集成有2个H桥路,对应的输入接口为:IN1位P1.1,IN2为P1.0,IN3为P1.2,IN4为P1.3。对应的输出接口为:OUT1接A,OUT2接A,OUT3接B,OUT4接B。PROTUS仿真图为:

图8 驱动电路PROTUS仿真图

2.4 四位LED数码管显示设计

任务要求需采用4位LED数码管显示工作步数,通过查阅相关资料,在仿真时采用型号7SEG-MPX4-CC共阴极数码管显示。其PROTUS仿真图如下所示:

图9 数码管显示仿真图

单片机的PD口接数码管输入管脚ABCDEFG以及DP(可以不用),PE口接4位数码管的片选端口1234,7段数码管对应的显示数值与输入信号的关系可以由下表得到。

表3 七段数码管显示功能表

由上表可以得到显示数字与单片机管脚输入信号的对应关系。只需要控制单片机的PD口输出信号即可显示数字,在程序设计中,定义7段显示数组为

seven_seg[10]={0X3f,0X06,0X5b,0X4f,0X66,0X6d,0X7d,0X07,0X7f,0X6f}即可使数码管显示数字。

2.5 总体硬件仿真设计

由前面各硬件部分的设计分析,以及控制系统的总体原理框图可以得到系统的硬件PROTUS仿真图为:

图10 系统仿真原理图

通过硬件仿真图可以看到,以单片机ATmega128为核心的控制系统,由PA口与PB口进行开关按键的设置,具体为:PB1接K2启动/停止开关,高电平为停止状态,低电平为启动状态;PA7接K3正/反转开关,高电平为正转,低电平为反转;PA0接K0四拍通电方式,按一次即可使电机进行四拍运转;PA2接K1八拍通电方式,按一次使电机进行八拍运转。

PE口与PD口外接4位LED数码管,显示步进步数,具体接线方式已在前面叙述,通过控制PE口的电平信号,达到片选的目的,进而实现4位数值的显示。PF口作为输出口外接负载,具体由PF7接绿色发光二极管,使其在电机停转时亮;PF5接红色放光二极管,使其在电机正转时亮;PF4接黄色发光二极管,使其在电机发转时亮。PF0-PF3外接驱动电路L298的4个输入端,具体为PF0接IN2,PF1接IN1,

PF2接IN3,PF3接IN4;即对应图2-5的P1.0-P1.3,L298再外接二相步进电机,这样即可通过控制单片机的PF口输出电平来实现二相步进电机的启动,停止,正反转以及四拍,八拍运转。具体工作过程需通过对单片机编程来实现。

3软件设计模块

3.1总体流程分析与设计

软件模块的分析需要根据硬件电路的设计来进行,基于上述硬件电路的分析设计,对整个程序流程需要有个整体的思考与判断。由硬件电路的设计可以看出,程序需要实现以下几个功能:通过开关按键实现电机的启用与停止,正转与反转,以及四拍,八拍的运行方式;由4位LED数码管实现步进步数的显示;3个发光二极管显示电机的状态。程序设计的总体思想是单片机通过判断按键输入电平变化从而选择正反转以及四八拍的工作方式。通过单片机的定时器/计数器2实现步数计数的功能;由定时器/计数器0实现单片机PF口输出正反转信号以及四拍,八拍控制字,同时发光二极管显示状态,主程序流程图如图3-1所示。程序设计主要采用主程序调用子程序的模式来进行,定义子程序display()在主程序中循环实现单片机的持续运行。

在display()中,调用子程序saomiao()实现对按键的扫描以达到选定电机运行状态的目的,由if判断语句设计,先判断启动/停止按键接口PB1,接着判断正/反转按键接口PA7,设定变量flag(正转位0,反转为2),然后判断四八拍方式选择按键,此时开启定时器/计数器0和定时器/计数器2,以及确定循环变量tt(四拍为4,八拍为8),由于定时器/计数器的启动,使得单片机的PF口输出对应方式的控制字,让电机开始运转;计数功能启用,实现步进数的计数,最后通过片选数组scan[k]选定数码管的位数,并由七段数组

seven_seg[],显示对应的数值。最后重新判断PB口数值,若启动/停止按键为停止,则关闭定时器/计数器0和定时器/计数器2,系统停止,具体完整程序参见附录。

3.2步进电机四拍,八拍流程分析与设计

程序设计需要对步进电机进行四拍控制与八拍控制,有前面所述可知,两者的区别在于对电机的通电时序不一样。由表2-1与表2-2可以得到电机的控制字,在程序设计时,首先定义电机的控制字数组,由于存在正反转两种情况,所以定

义一个2维数组为d4[][8]={{0x22,0x28,0x21,0x24},{0x22,0x2a,0x28,02 9,0x21,0x25,0x24,0x26},{0x14,0x11,0x18,0x12},{0x14,0x15,0x11,0x19,

0x18,0x1a,0x12,0x16}};分别为电机正转4拍,正转8拍,反转4拍,反转8拍的控制字;通过给单片机PF口赋予上述数值即可实现步进电机的不同方式运转,同时由发光二极管显示电机的工作状态。

图11 主程序流程图

在程序设计时,需要使用单片机的定时器/计数器0功能实现四拍,八拍运转。在程序中,首先有saomiao()程序判断所选功能按键,同时开启定时器/计数器0,在其初始化程序里,设定寄存器的值为TCNT0 = 0x64,OCR0 = 0x9C,

当TCNT0的计数值与OCR0相等时,触发中断子程序timer0_comp_isr(),在中断子程序里,先重新赋予寄存器数值TCNT0 = 0x64,OCR0 = 0x9C,以便下次触发中断程序,然后将设定的计数变量timer0加1,判断timer0是否为50,当累加到50后,将timer0清零,变量i加1,将对应的控制字d4[flag+pai][i%tt]赋给PF口,使电机转动,让循环重复上述过程。flag+pai确定了电机的正反转以及四拍,八拍方式;当为四拍时,tt=4,为八拍时,tt=8;这样即可使控制字循环输出给PF口,从而实现了步进电机的四拍,八拍运转。其程序流程图如下所示:

图12 步进电机四拍,八拍流程图

程序通过控制寄存器值TCCR0来控制定时器/计数器0的启动与停止,当TCCR0=0x0E时,定时器/计数器0启动,TCNT0累加,当TCNTO=OCR0时,触发相应的中断子程序,设定当timer0=50时,将控制字输出到PF口,实现了一定的延时,可以调整这个数值以达到满意的延时效果,将TCCR0设置为0x00来屏蔽

定时器/计数器0功能。

3.3显示模块流程分析与设计

硬件设计得到了4位LED数码管的显示电路,由单片机的PD口输出显示数字,有PE口用来输出片选信号。由前所述表3可知,ABCDEFG管脚对应的数字显示,得到了10个对应的十六进制数,在程序设计中,先定义了7段数码管显示数组seven_seg[10]={0X3f,0X06,0X5b,0X4f,0X66,0X6d,0X7d,0X07,0X7f, 0X6f},以及片选数组scan[4]={0Xf7,0Xfb,0Xfd,0Xfe};使用定时器/计数器2来实现计数的功能,其工作方式与定时器/计数器0一样,在时序上与定时器/计数器0保持一致,以达到步进与计数同步的效果,其设定的TCNT2与OCR2值与前面一致。其程序流程图如下所示:

图13 中断计数程序流程图

通过定时器/计数器2实现计数的功能,要显示出计数结果,需要给单片机

PE口对应的片选信号来实现每位数值的显示。其对应的程序代码如下:

PORTE =scan[k];//对应的片选信号

switch(k)//显示每位数值

{case 0 : PORTD=seven_seg[now.geshi%10]; break;//显示个位

case 1 : PORTD=seven_seg[now.geshi/10];break;//显示十位

case 2 : PORTD=seven_seg[now.baiqian%10];break;//显示百位

case 3 : PORTD=seven_seg[now.baiqian/10];break;//显示千位

}

k++;

if(k==4) k=0;//4位循环显示,清零

对上述程序代码循环运行,即可实现4位LED数码管的显示功能。

4系统调试运行与仿真

硬件设计模块完成了对系统电路图的设计,软件模块由程序实现了各项功能;接下来需要将软件与硬件结合起来才能真正完成整个设计工作。我们采用的是PROTUS仿真软件得到了系统的硬件图,参见图10;使用ICC AVR软件的集成环境调试程序,程序代码请参见附录,在该集成环境下,创建一工程,将程序装入该工程下,调试运行无误后,该工程会自动生成.hex文件,在PROTUS仿真环境里,在单片机中载入该文件,这样便实现了单片机程序的载入。在系统完成后测试系统,检查硬件和软件是否能够协调运行,并对系统出现的情况进行分析,看是否能够达到系统创作之初所设想的效果,如达不到则重新修改系统的硬件结构或者修改软件的程序部分,直到达到设计需要为止。本系统的设计思路为:首先从整体上划分出各功能模块,然后硬件和软件同时进行依次完成各个功能模块,最后将各个模块联系起来完成整个系统。

在硬件调试的过程中,遇到了很多问题。主要有:按键设计完成后,在多次运行过程中发现按键是否按下难以直观准确判断,在此处进行改进设计,为每一个按键接上一个发光二极管,当有键按下时,相对应的发光二极管变亮,使得按键动作形象直观。在步进电机与驱动电路的连接中,需要准确的接好各个管脚,因为程序里的控制字对应着这些管脚电平的变化,不能随便接,单片机的输出口与驱动电路的输出口也需要一一对应,否则电机无法按正常的设计运转。

软件测试的时候也有些问题,主要有:控制步进电机转动的程序段完成后,调试发现对步进电机的转速过小,查阅资料后发现设计思路不太合理,原先的设

计思路是用主程序控制步进电机转动,采用延时方式控制步进电机速度,由定时器处理按键键值;随即改进程序,主程序用来处理按键,由定时器控制步进电机转动,步进电机转动速度由定时器定时时间决定,可调范围较广。问题得到解决,不仅扩大了步进电机转速的控制范围,也使得单片机对步进电机转速的控制更加精确。

5小结与心得体会

经过与同小组同学的共同努力,近一个多星期的学习,本次课程设计课题二相步进电机控制系统告一段落。二相步进电机控制系统的设计主要分为硬件设计和软件设计两个部分:

硬件设计主要是把单片机系统(ATmega128)、按键控制模块、步进电机驱动模块(L298)、数码显示模块等各个硬件功能模块及其它元件合理搭配并连接起来使其能够为软件运行提供一个硬件平台。

软件设计主要是通过编写程序代码,实现对整个系统的控制。在系统上电复位后程序自动运行,通过接受外部的键盘操作修改系统参数值,控制步进电机的启停,以及四拍,八拍通电方式和转动方向的改变;定时器T0根据系统参数控制步进电机的转动;定时器T2实现步进电机步进步数的动态显示。

本系统具有一定的实用功能,能基本符合实际应用需求,本次设计由于设计时间较短,个人能力以及精力等因素的限制,加之设计经验的不足,该系统还有许多不尽如人意的地方。该系统具有一定的缺陷,并不完美,但仿真成功的那一刻的感觉是很美妙的,努力有了收获,学的很充实。通过这次课程设计,使我从一开始对系统的不太熟悉,到能开发一个简单的系统,在这整个过程中我学到了很多东西,掌握了一些常用的开发技能,也发现了大量的问题,有些在设计过程中已经解决,有些还有待今后慢慢学习。

近十天的课程设计,使我受益匪浅。我不仅了解了把理论设计转换成现实实物的整个过程。如:查阅资料,方案选定,电路设计,仿真电路图,检查调试,软件流程控制,编写调试软件到整个软硬件系统的调试,最后直到系统完成。为我以后的设计打下了一个好的基础。而且使我更加熟悉了整个设计的过程和一些软件及硬件设备的使用。对我以后面对这方面的工作有了很大的帮助,锻炼了自己解决实际问题的能力,也培养了我们求真务实的态度。

参考文献

[1]于海生,《计算机控制技术》[M],北京:机械工业出版社,2007年

[2] 张毅刚,《新编MCS-51单片机应用设计》[M],哈尔滨:哈尔滨工业大学出版社,2006年

[3]陈冬云,《ATmega128 单片机原理与开发指导》[M],北京:机械工业出版社,2005年

[4]王彦平,《RPOTEL 99 电路设计指南》[M],北京:清华大学出版社,2000年

[5]沈美明,《IBM-PC汇编语言程序设计》[M], 北京:清华大学出版社,2001年

[6]沈精虎,《Protel99 入门与提高》[M], 北京:人民邮电出版社,2007年

[7]吴金戌,《8051 单片机时间与应用》[M], 北京:清华大学出版社,2003年

[8]胡辉,《单片机原理及应用设计》[M], 北京:中国水利水电出版社,2007年

附录:

程序代码:

//ICC-AVR application builder : 2009/6/30 14:09:19

// Target : M128

// Crystal: 4.0000Mhz

#include

#include

unsigned char

d4[][8]={{0x22,0x28,0x21,0x24},{0x22,0x2a,0x28,0x29,0x21,0x25,0x24,0x 26},

{0x14,0x11,0x18,0x12},{0x14,0x15,0x11,0x19,0x18,0x1a,0x12,0x16}};//定义电机四八拍正反转控制字

unsigned char scan[4]={0Xf7,0Xfb,0Xfd,0Xfe};/*选择扫描显示数码管,送PE 口选数码管*/

unsigned char

seven_seg[10]={0X3f,0X06,0X5b,0X4f,0X66,0X6d,0X7d,0X07,0X7f,0X6f};

/*0-9的字符表,送PF口显示*/

unsigned char flag,pai,tt,i,key,k=0;//定义正反转,模式,拍数,按键值,数码管选位

typedef struct{

char baiqian;

char geshi;

}conut; //定义计数变量

conut now;

void port_init(void)//端口初始化程序

{

PORTA = 0xFF;

DDRA = 0x00;

PORTB = 0xFF;

DDRB = 0x00;

PORTC = 0x00; //m103 output only

DDRC = 0x00;

PORTD = 0x00;

DDRD = 0xFF;

PORTE = 0x00;

DDRE = 0xFF;

PORTF = 0x00;

DDRF = 0xFF;

步进电机控制系统

目录 一、设计任务: (2) 二、步进电机概述: (2) 三、题目分析与整体构思: (4) 四、硬件电路设计: (7) 五、硬件验证: (10) 六、程序设计: (10) 七、系统仿真: (15) 八、感应子式步进电机工作原理: (17) 九、心得体会: (24) 参考文献: (25)

一、系统设计要求 步进电机作为一种电脉冲—角位移的转换元件,由于具有价格低廉、易于控、制、无积累误差和计算机接口方面等优点,在机械、仪表、工业控制等领域中获得了广泛的应用。本设计的具体要求是: 1. 设计制作一个步进电机控制电路,可以细分驱动和常规驱动。 2. 常规驱动状态转速四档可调并可实现正反转。 二、步进电机概述 步进电机是一种将电脉冲转化为角位移的执行机构。当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度(称为“步距角”),它的旋转是以固定的角度一步一步运行的。可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;同时可以通过控制脉冲频率来控制电机转动的速度和加速度,从而达到调速的目的。步进电机可以作为一种控制用的特种电机,利用其没有积累误差(精度为100%)的特点,广泛应用于各种开环控制。 现在比较常用的步进电机包括反应式步进电机(VR)、永磁式步进电机(PM)、混合式步进电机(HB)和单相式步进电机等。 永磁式步进电机一般为两相,转矩和体积较小,步进角一般为7.5度或15度。 反应式步进电机一般为三相,可实现大转矩输出,步进角一般为 1.5度,但噪声和振动都很大。反应式步进电机的转子磁路由软磁材料制成,定子上有多相励磁绕组,利用磁导的变化产生转矩。 混合式步进电机是指混合了永磁式和反应式的优点。它又分为两相和五相:两相步进角一般为 1.8度而五相步进角一般为 0.72度。这种步进电机的应用最为广泛,也是本次细分驱动方案所选用的步进电机。 (一)步进电机的一些基本参数: 1.电机固有步距角: 电机固有步距角表示控制系统每发一个步进脉冲信号,电机所转动的角度。电机出厂时给出了一个步距角的值,如86BYG250A型电机给出的值为0.9°/1.8°(表示半步工作时为0.9°,整步工作时为1.8°),这个步距角可以称之为“电机固有步距角”,它不一定是电机实际工作时的真正步距角,真正的步距角和驱动器有关。 2.步进电机的相数: 步进电机的相数是指电机内部的线圈组数,目前常用的有二相、三相、四相、五相步进电机。电机相数不同,它们的步距角也不同,一般二相电机的步距角为0.9°/1.8°、三相的为0.75°/1.5°、五相的为0.36°

四相步进电机控制系统设计资料讲解

四相步进电机控制系 统设计

课题:四相五线单4拍步进制电动机的正反转控制专业:机械电子工程 班级:2班 学号: 20110259 姓名:周后银 指导教师:李立成 设计日期: 2014.6.9~2014.6.20 成绩:

1概述 本实验旨在通过控制STC89C52芯片,实现对四相步进电机的转动控制。具体功能主要是控制电机正转10s、反转10s,连续运行1分钟,并用1602液晶显示屏显示出来。 具体工作过程是:给系统上电后,按下启动开关,步进电机按照预先 实验具体用到的仪器:STC89C52芯片、开关单元、四项步进电机、等硬件设 备。 实验具体电路单元有:单片机最小系统、步进电机连接电路、开关连接电路、1602液晶显示屏显示电路。 2四相步进电机 2.1步进电机 步进电机是一种将电脉冲转化为角位移的执行机构。电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个步距角。 2.2步进电机的控制 1.换相顺序控制:通电换相这一过程称为脉冲分配。 2.控制步进电机的转向控制:如果给定工作方式正序换相通电,步进 电机正转,如果按反序通电换相,则电机就反转。

3.控制步进电机的速度控制:如果给步进电机发一个控制脉冲,它就 转一步,再发一个脉冲,它会再转一步。两个脉冲的间隔越短,步进电机就转得越快。 2.3步进电机的驱动模块 ABCD四相工作指示灯指示四相五线步进电机的工作状态 2.4步进电机的工作过程 开关SB接通电源,SA、SC、SD断开,B相磁极和转子0、3号齿对齐,同时,转子的1、4号齿就和C、D相绕组磁极产生错齿,2、5号齿就和D、A相绕组磁极产生错齿。当开关SC接通电源,SB、SA、SD断开时,由于C相绕组的磁力线和1、4号齿之间磁力线的作用,使转子转动, 1、4号齿和C相绕组的磁极对齐。而0、3号齿和A、B相绕组产生错齿,

步进电机驱动器的设计

1 绪论 1.1 引言 步进电动机一般以开环运行方式工作在伺服运动系统中,它以脉冲信号进行控制,将脉冲电信号变换为相应的角位移或线位移。步进电动机可以实现信号的变换,是自动控制系统和数字控制系统中广泛应用的执行元件。由于其控制系统结构简单,控制容易并且无累积误差,因而在20世纪70 年代盛行一时。80 年代之后,随着高性能永磁材料的发展、计算机技术以及电力电子技术的发展,矢量控制技术等一些先进的控制方法得以实现,使得永磁同步电机性能有了质的飞跃,在高性能的伺服系统中逐渐处于统治地位。相应的,步进电机的缺点越来越明显,比如,其定位精度有限、低频运行时振荡、存在失步等,因而只能运用在对速度和精度要求不高,且对成本敏感的领域。 技术进步给步进电动机带来挑战的同时,也带来了新的发展遇。由于电力电子技术及计算机技术的进步,步进电动机的细分驱动得以实现。细分驱动技术是70 年代中期发展起来的一种可以显著改善步进电机综合性能的驱动控制技术。实践证明,步进电机脉冲细分驱动技术可以减小步进电动机的步距角,提高电机运行的平稳性,增加控制的灵活性等。由于电机制造技术的发展,德国百格拉公司于1973 年发明了五相混合式步进电动机,又于1993 年开发了三相混合式步进电动机。根据混合式步进电动机的结构特点,可以将交流伺服控制方法引入到混合式步进电机控制系统中,使其可以以任意步距角运行,并且可以显著削弱步进电机的一些缺点。若引入位置反馈,则混合式步进电机控题正是借鉴了永磁交流伺服系统的控制方法,研制了基于DSP的三相混合式步进电机驱动器。 1.2 步进电机及其驱动器的发展概况 按励磁方式分类,可以将步进电动机分为永磁式(PM)、反应式(VR)和混合式(HB)三类,混合式步进电动机在结构和原理上综合了反应式和永磁式步进电动机的优点,因此混合式步进电动机具有诸多优良的性能,本课题的研究对象正是混合式步进电机。20 世纪60 年代后期,各种实用性步进电动机应运而生,而半导体技术的发展则推进了步进电动机在众多领域的应用。在近30 年间,步进电动机迅速的发展并成熟起来。从发展趋势来讲,步进电动机已经能与直流电动机、异步电动机以及同步电动机并列,从而成为电动机的一种基本类型。特别是混合式步进电动机以其优越的性能(功率密度高于同体积的反应式步进电动机50%)得到了较快的发展。其中,60 年代德国百格拉公司申请了四相(两相)混合式步进电动机专利,70 年代中期,百格拉公司又申请了五相混合式步进电动机

步进电机的简单电路控制

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:步进电机简单的控制电路 学院名称:南昌航空大学信息工程学院 专业:班级: 学号:姓名: 评分:教师: 2013 年 9 月 9 日 数字电路课程设计任务书 20 13-20 14 学年第 1 学期第 2 周- 4 周

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

步进电机是一种原理为利用电子电路的电脉冲信号转变为角位移或线位移的感应电机。通过简单的数字电路来控制它的转速并可以利用数码管来计算其转动的圈数,便可以实现电机的正反向转动,并且在数码管上精确的显示出它转动的圈数,从而广泛应用于实际生活当中。其中涉及到计算机,数字电路,电机,机械,完成了简单的自动化控制流程,将所学知识应用于工程中,增加实践动手能力。 关键词:分频、时序控制、脉冲计数

前言 (1) 第一章设计内容及要求 (1) 第二章系统的组成及工作原理 (2) 第三章单元电路设计 (2) 3.1多谐振荡器 (2) 3.2 步进电机信号控制电路 (3) 3.3转速的测量及显示电路 (4) 第四章调试 (5) 4.1电路排板及制作 (5) 4.2电路的调试 (5) 第五章总结 (6) 附录1:设计原理图 (7) 附录2:PCB电路图 (8) 附录3: 元件清单 (9)

前言 步进电机最早出现于上世纪,源于资本主义的造船工业,是一种可以自由转动的电磁铁,其工作原理和如今的反应式电机差不多,是依靠磁导来产生电磁矩,从而实现转动。 到了80年代之后,微型计算机逐步的应用于工业与生活中,使得步进电机的控制更加的灵活多样,最主要的是利用分立元件或者小型的集成电路来控制,但是对元件的需求量很大,调试也很复杂,出现问题需要花大量的精力来调试,因此,通过计算机软件来控制步进电机是必然的趋势,以提高工作效率。 现在的步进电机主要是由数字电路组成,也是利用集成电路来控制电路,但是大大的提高了其精度,更好的满足工业发展的需要。目前用到最多的是混合式步进电机,并具有很好的发展前景。 步进电机按照工作原理可分为永磁式、磁阻式和永磁感应子式三种。 今后步进电机将会有以下四个方面的发展,为减小其占用的空间从而会往小型方向发展,以更加的适用于工业制造当中;为增加力矩,从而会将圆形改为方形,以提高其工作效率;为体现其优越的控制性能,从而会偏向于一体化设计,以实现电子自动化控制,更加灵活方便;为降低其成本,增加其性能,从而会向三相和五相的方向发展,以充分实现其优越性能。 步进电机以其显着的特点,在电子数字化时代将发挥重大作用,将广泛应用于数控车床、机器人、航空工业和电子领域中,可完成工作量大,任务复杂、精度高的制造业以及代替人类完成不利于身体健康的工业中,为生活带来更多的便利。 第一章设计内容及要求 基本要求:1、利用proteus软件设计步进电机的工作原理图,并进行仿真。 2、调试及实现。 (1)实现步进电机根据输入的脉冲旋转的相应圈数。 (2)可以实现复位,正反转控制,由4个LED代替4个线圈。 (3)实现步进电机的加速、减速功能。

步进电机驱动电路设计

如对您有帮助,请购买打赏,谢谢您! 引言 步进电机是一种将电脉冲转化为角位移的执行机构。驱动器接收到一个脉冲信号后,驱动步进电机按设定的方向转动一个固定的角度。首先,通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;其次,通过控制脉冲顿率来控制电机转动的速度和加速度,从而达到涮速的目的。目前,步进电机具有惯量低、定位精度高、无累积误差、控制简单等特点,在机电一体化产品中应用广泛,常用作定位控制和定速控制。步进电机驱动电路常用的芯片有l297和l298组合应用、3977、8435等,这些芯片一般单相驱动电流在2 a左右,无法驱动更大功率电机,限制了其应用范围。本文基于东芝公司2008年推出的步进电机驱动芯片tb6560提出了一种步进电机驱动电路的设计方案 1步进电机驱动电路设计 1.1 tb6560简介 tb6560是东芝公司推出的低功耗、高集成两相混合式步进电机驱动芯片。其主要特点有:内部集成双全桥mosfet驱动;最高耐压40 v,单相输出最大电流3.5 a(峰值);具有整步、1/2、1/8、1/16细分方式;内置温度保护芯片,温度大于150℃时自动断开所有输出;具有过流保护;采用hzip25封装。tb6560步进电机驱动电路主要包括3部分电路:控制信号隔离电路、主电路和自动半流电路。 1.2步进电机控制信号隔离电路 步进电机控制信号隔离电路如图1所示,步进电机控制信号有3个(clk、cw、enable),分别控制电机的转角和速度、电机正反方向以及使能,均须用光耦隔离后与芯片连接。光耦的作用有两个:首先,防止电机干扰和损坏接口板电路;其次,对控制信号进行整形。对clk、cw信号,要选择中速或高速光耦,保证信号耦合后不会发生滞后和畸变而影响电机驱动,且驱动板能满足更高脉冲频率驱动要求。本设计中选择2片6n137高速光耦隔离clk、cw,其信号传输速率可达到10 mhz,1片tlp521普通光耦隔离enable信号。应用时注意:光耦的同向和反向输出接法;光耦的前向和后向电源应该是单独隔离电源,否则不能起到隔离干扰的作用。 1.3步进电机主电路 如图2所示,步进电机主电路主要包括驱动电路和逻辑控制电路两大部分。 驱动电路电源采用28 v,电压范嗣为4.5~40 v,提高驱动电压可增大电机在高频范围转矩的输出,电压选择要根据使用情况而定。vmb、vma为步进电机驱动电源引脚,应接入瓷片去耦电容和电解电容稳压。out_ap、out_am、out_bp、out_bm 引脚分别为电机2相输出接口,由于内部集成了续流二极管,这4个输出口不用

步进电机控制电路设计

黄冈职业技术院 系别:07 机电工程系 专业: 应用电子 班级:二班 设计者:戴久志、邓修海、徐凯 指导老师: 温锦辉 设计课题: 液晶8279步进电机系统 设计时间: 二0一一年四月二十号 步进电机控制电路设计 1、系统基本方案 根据设计要求,步进电机控制电路可以分为控制模块、显示模块、电源模块、键盘模块、电机驱动模块、步进电机部分。步进电机控制电路基本模块方框图如图1.1所示。 2、系统硬件设计与实现 2.1、步进电机介绍 随着工业技术的不断进步,在自动化控制、精密机械加工、航空航天技术及所有要求高

精度定位等高新技术领域,步进电机的得到了广泛的应用。步进电机是一种将脉冲信号转化为角位移的执行机构。若在其输入端加入有规律的脉冲信号,就能驱动步进电机按设定的方向移动一定的距离或转动一个角度(称为“步距角”)。从结构上步进电机分为单相、双相、三相、四相、五相、六相等多种。本次设计使用步进电机分为A、B、C、D四相绕组,每相通电一次称为一拍。四相步进电机根据不同的通电规律可分为几种工作模式: ⑴、四相单四拍:A-B-C-D; ⑵、四相双四拍:AB-BC-CD-DA; ⑶、四相单八拍:A-AB-B-BC-C-CD-D-DA; ⑷、四相双八拍:AB-ABC-BC-BCD-CD-CDA-DA-DAB。 步进电机的正反转与电机每相的通电顺序有关,可以改变相序来改变电机的正反转。步进电机每步所旋转角度的大小,称为步距角(βB)。它是由电机本身转子的齿数(Z R)。一个通电循环内通电节拍数(M Q)决定的。即βB=360/ Z R M Q。电机出厂的步距角是固定的。四相步进电机的步距角为0.90/1.80(表示半步工作时为0.90,整步工作时为1.80)。步进电机转速的高低与控制脉冲频率有关。改变控制脉冲频率,可改变电机转速。 2.2、步进电机驱动模块 步进电机的驱动电路采用常用的电动机驱动芯片L298,它能够接受标准的TTL电平控制信号,驱动电机。L298操作时能提供的电压能达到46V,直流电流4A,具有过热保护功能,逻辑“0”的输入电压达到1.5V。L298在控制器的控制下驱动一个步进电动机,控制器产生L298年需的控制信号,以控制步进电机的运动状态。为了防止定子绕组的电感作用,使得电流切换时产生过电压,步进电机每相绕组两端都须并联一个用天在换相时起续流作用的肖基特二极管。步进电机驱动电路原理图如图 图2.2.1 步进电机驱动电路原理图 2.3、控制子程序 2.3.1、四相单四拍正转子程序 四相单四拍正转子程序主要用于控制步进电机以步距角为 1.80角度顺时针旋转。控制器从端口依次向步进电机的每相输出脉冲信号。每输出一个脉冲信号步进电机转动一定的角度。其工作模式为:A-B-C-D。 ;******单四拍正转****** MOV P1,#01H ACALL DELAY2 MOV P1,#02H ACALL DELAY2 MOV P1,#04H ACALL DELAY2 MOV P1,#08H ACALL DELAY2 2.3.2、四相单四拍反转子程序 四相单四拍反转子程序主要用于控制步进电机以步距角为 1.80角度逆时针旋转。其工作模式为:D-C-B-A。 ;******四拍反转******

步进电机控制系统设计

文理学院芙蓉学院课程设计报告 课程名称:专业综合课程设计 专业班级:自动化1001班学号:40 学生:志航 指导教师:建英 完成时间: 2013年 6月13 日 报告成绩: 芙蓉学院教学工作部制

摘要 本文先介绍了混合式步进电机的结构和工作原理,分析了细分驱动对于改善步进电机运行性能的作用,论述了正弦波细分驱动可以实现等步距角、等力矩均匀细分驱动的原理,提出了一种基于H桥和其他分立元件分配脉冲的驱动技术,该方案可实现步进电机的单拍、半拍、双拍三种工作方式。本文采用控制电路主要由AT89C51单片机、晶振电路、地址锁存器、译码器、液晶显示电路组成,单片机是控制系统的核心。文中对整个系统的架构及硬件电路和驱动软件的实现都做了详细的介绍。 关键词:单片机;正弦脉宽调制;混合式步进电机;细分驱动

Abstract In this paper, the working principle and configuration of three-phase hybrid Stepper are introduced, then based on technologies such as stepper motor controller, PWM inverter and microcontroller. In the thesis, we develop a single chip computer -based digital controlling system for a three-phase hybrid stepper motor that is mainly constructed from a AT89C51 single chip computer and ST7920IC which is used as the core of control parts. The system's whole architecture, the design of hardware and software are introduced in detail. KEY WORDS: Microcontroller,SPWM,Hybrid stepper motor,Micro-stepping driver

基于单片机的步进电机控制系统的设计_毕业设计

本科毕业设计 基于单片机的步进电机控制系统的设计

摘要 随着自动控制系统的发展和对高精度控制的要求,步进电机在自动化控制中扮演着越来越重要的角色,区别于普通的直流电机和交流电机,步进电机可以对旋转角度和转动速度进行高精度控制。步进电机作为控制执行元件,是机电一体化的关键组成之一,广泛应用在各种自动化控制系统和精密机械等领域。 步进电机是将电脉冲信号转变为角位移或线位移的开环控制元件。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个步距角。 本系统介绍了一种基于单片机的步进电机控制系统的设计,包括了硬件设计和软件设计两部分。其中,硬件设计包括单片机最小系统、键盘控制模块、LCD显示模块、步进电机驱动模块、位置检测模块共5个功能模块的设计。系统软件设计采用C语言编写,包括主程序、数字键处理程序、功能键处理程序、电机驱动处理程序、显示模块、位置采集模块。 本设计采用STC89C52单片机作为主控制器,4*4矩阵键盘作为输入,LCD1602液晶作为显示,ULN2003A芯片驱动步进电机。系统具有良好的操作界面,键盘输入步进电机的运行距离;步进电机能以不同的速度运行,可以在不超过最大转速内准确运行到任意设定的位置,可调性较强;显示设定的运行距离和实际运行距离;方便操作者使用。关键词:单片机步进电机液晶显示键盘驱动

Design of the Stepping Motor Control System Based on SCM Qiu Haizhao (College of Engineering, South China Agricultural University, Guangzhou 510642,China) Abstract:With the development of automatic control system and the requirements of high-precision control, stepping motor control in automation is playing an increasingly important role, different from the common DC and AC motor, stepper motor rotation angle and rotational speed can be high-precision controlled. Stepper motor as a control actuator is a key component of mechanical and electrical integration, widely used in a variety of automated control systems and precision machinery and other fields. Stepper motor is the open-loop control components changing electric pulse signals into angular displacement or linear displacement .In the case of non-overloaded, the motor speed, stop position depends only on the pulse frequency and pulse number, regardless of load changes, that is, to add a pulse motor, the motor is turned a step angle. This system introduces a design of stepper motor control system based on single chip microcomputer, including hardware design and software design in two parts. Among them, the hardware design, including single chip minimal system, keyboard control module, LCD display module, the stepper motor drive module, position detection module five functional modules. System software design using C language, including the main program, process number keys, the key of function processes, motor driver handler, the display module, position acquisition module. This design uses STC89C52 microcontroller as the main controller, 4 * 4 matrix keyboard as an input, LCD1602 LCD as a display, ULN2003A chip as stepper motor driver. System has a good user interface, keyboard input stepper motor running distance; Stepper motor can run at different speed, and run to any given position accurately in any speed without exceeding the maximum speed, with a strong adjustable ; Display the running distance and the actual running distance, which is more convenient for the operator to use. Key words: SCM stepper LCD keyboard driver

【matlab编程代做】步进电机控制器设计

步进电机控制器设计报告 1.绪言 在本次EDA课程设计中,我们组选择了做一个步进电机驱动程序的课题。对于步进电机我们以前并未接触过,它的工作原理是什么,它是如何工作的,我们应该如何控制它的转停,这都是我们迫切需要了解的。 步进电机是将电脉冲信号转变为角位移或线位移的开环控制元件。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个步距角。这一线性关系的存在,加上步进电机只有周期性的误差而无累积误差等特点。使得在速度、位置等控制领域用步进电机来控制变的非常的简单。 步进电机及驱动电源是互相联系的整体。步进电机驱动电源框图如图1所示。变频信号源产生频率可调的脉冲信号,调节步进电机的速度。脉冲分配器则根据要求把脉冲信号按一定的逻辑关系加到脉冲放大器上,使步进电机按确定的运行方式工作。 感应子式步进电机以相数可分为:二相电机、三相电机、四相电机、五相电机等。以机座号(电机外径)可分为:42BYG(BYG 为感应子式步进电机代号)、57BYG、86BYG 、110BYG 、(国际标准),而像70BYG 、90BYG 、130BYG 等均为国内标准。 1.1 驱动控制系统组成 使用、控制步进电机必须由环形脉冲,功率放大等组成的控制系统。 1.1.1 脉冲信号的产生 脉冲信号一般由单片机或CPU 产生,一般脉冲信号的占空比为0.3-0.4 左右,电机转速越高,占空比则越大。 1.1.2 信号分配 感应子式步进电机以二、四相电机为主,二相电机工作方式有二相四拍和二相八拍二种,具体分配如下:二相四拍为,步距角为1.8 度;二相八拍为,步距角为0.9 度。四相电机工作方式也有二种,四相四拍为AB-BC-CD-DA-AB,步距角为1.8 度;四相八拍为 AB-B-BC-C-CD-D-AB,(步距角为0.9 度)。

步进电机的控制电路和程序

步进电机的控制电路和程序 先看一下我们将要使用的51单片机综合学习系统能完成哪些实验与产品开发工作:分别有流水灯,数码管显示,液晶显示,按键开关,蜂鸣器奏乐,继电器控制,IIC总线,SPI总线,PS/2实验,AD模数转换,光耦实验,串口通信,红外线遥控,无线遥控,温度传感,步进电机控制等等。 上图是我们将要使用的51单片机综合学习系统硬件平台,本期实验我们用到了综合系统主机、步进电机,综合系统其它功能模块原理与使用详见前几期《电子制作》杂志及后期连载教程介绍。 步进电机是将电脉冲信号转变为角位移或线位移的开环控制元件。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度(称为“步距角”),它的旋转是以固定的角度一步一步运行的。可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;同时可以通过控制脉冲频率来控制电机转动的速度和加速度,从而达到调速的目的。步进电机可以作为一种控制用的特种电机,利用其没有积累误差(精度为100%)的特点,广泛应用于各种开环控制。 步进电机分类与结构 现在比较常用的步进电机分为三种:反应式步进电机(VR)、永磁式步进电机(PM)、混合式步进电机(HB)。本章节以反应式步进电机为例,介绍其基本原理与应用方法。反应式步进电机可实现大转矩输出,步进角一般为1.5度。反应式步进电机的转子磁路由软磁材料制成,定子上有多相励磁绕组,利用磁导的变化产生转矩。常用小型步进电机的实物如图1 所示。 图1步进电机实物图 图 2 步进电机内部图 步进电机现场应用驱动电路 综合系统使用的是小型步进电机,对电压和电流 要求不是很高,为了说明应用原理,故采用最简单 的驱动电路,目的在于验证步进电机的使用,在正 式工业控制中还需在此基础上改进。一般的驱动电 路可以用图3的形式。 图3 一般驱动电路 在实际应用中一般驱动路数不止一路,用上图的分立电路体积大,很多 场合用现成的集成电路作为多路驱动。常用的小型步进电机驱动电路可以用 ULN2003或ULN2803。本书配套实验板上用的是ULN2003。ULN2003是高压大电流达林顿晶体管阵列系列产品,具有电流增益高、工作电压高、温度范围宽、带负载能力强等特点,适应于各类要求高速大功率驱动的系统。ULN2003A由7组达林顿晶体管阵列和相应的电阻网络以及钳位二极管网络构成,具有同时驱动7组负载的能力,为单片双极型大功率高速集成电路。ULN2003内部结构及等效电路图如图4:

步进电机驱动电路设计

https://www.360docs.net/doc/9c15625723.html,/gykz/2010/0310/article_2772.html 引言 步进电机是一种将电脉冲转化为角位移的执行机构。驱动器接收到一个脉冲信号后,驱动步进电机按设定的方向转动一个固定的角度。首先,通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;其次,通过控制脉冲顿率来控制电机转动的速度和加速度,从而达到涮速的目的。目前,步进电机具有惯量低、定位精度高、无累积误差、控制简单等特点,在机电一体化产品中应用广泛,常用作定位控制和定速控制。步进电机驱动电路常用的芯片有l297和l298组合应用、3977、8435等,这些芯片一般单相驱动电流在2 a左右,无法驱动更大功率电机,限制了其应用范围。本文基于东芝公司2008年推出的步进电机驱动芯片tb6560提出了一种步进电机驱动电路的设计方案 1步进电机驱动电路设计 1.1 tb6560简介 tb6560是东芝公司推出的低功耗、高集成两相混合式步进电机驱动芯片。其主要特点有:内部集成双全桥mosfet驱动;最高耐压40 v,单相输出最大电流3.5 a(峰值);具有整步、1/2、1/8、1/16细分方式;内置温度保护芯片,温度大于150℃时自动断开所有输出;具有过流保护;采用hzip25封装。tb6560步进电机驱动电路主要包括3部分电路:控制信号隔离电路、主电路和自动半流电路。 1.2步进电机控制信号隔离电路 步进电机控制信号隔离电路如图1所示,步进电机控制信号有3个(clk、cw、enable),分别控制电机的转角和速度、电机正反方向以及使能,均须用光耦隔离后与芯片连接。光耦的作用有两个:首先,防止电机干扰和损坏接口板电路;其次,对控制信号进行整形。对clk、cw信号,要选择中速或高速光耦,保证信号耦合后不会发生滞后和畸变而影响电机驱动,且驱动板能满足更高脉冲频率驱动要求。本设计中选择2片6n137高速光耦隔离clk、cw,其信号传输速率可达到10 mhz,1片tlp521普通光耦隔离enable信号。应用时注意:光耦的同向和反向输出接法;光耦的前向和后向电源应该是单独隔离电源,否则不能起到隔离干扰的作用。

步进电机控制系统设计.

毕业设计论文 论文题目:基于单片机的步进电机控制电路板设计 摘要 随着微电子和计算机技术的发展,步进电机的需求量与日俱增,它广泛用于打印机、电动玩具等消费类产品以及数控机床、工业机器人、医疗器械等机电产品中,其在各个国民经济领域都有应用。研究步进电机的控制系统,对提高控制精度和响应速度、节约能源等都具有重要意义。 步进电机是一种能将电脉冲信号转换成角位移或线位移的机电元件,步进电机控制系统主要由步进控制器,功率放大器及步进电机等组成。采用单片机控制,用软件代替上述步进控制器,使得线路简单,成本低,可靠性大大增加。软件编程可灵活产生不同类型步进电机励磁序列来控制各种步进电机的运行方式。 本设计是采用AT89C51单片机对步进电机的控制,通过IO口输出的时序方波作为步进电机的控制信号,信号经过芯片ULN2003驱动步进电机;同时,用 4个按键来对电机的状态进行控制,并用数码管动态显示电机的转速。 系统由硬件设计和软件设计两部分组成。其中,硬件设计包括AT89C51单片机的最小系统、电源模块、键盘控制模块、步进电机驱动(集成达林顿ULN2003)模块、数码显示(SM420361K数码管)模块、测速模块(含霍尔片UGN3020)6个功能模块的设计,以及各模块在电路板上的有机结合而实现。软件设计包括键盘控制、步进电机脉冲、数码管动态显示以及转速信号采集模块的控制程序,最终实现对步进电机转动方向及转动速度的控制,并将步进电机的转动速度动态显示在LED数码管上,对速度进行实时监控显示。软件采用在Keil软件环境下编辑

************* 第1章绪论 1.1 课题背景 当今社会,电动机在工农业生产、人们日常生活中起着十分重要的作用。步进电机是最常见的一种控制电机,在各领域中得到广泛应用。步进电机作为执行元件,是机电一体化的关键产品之一, 广泛应用在各种自动化控制系统中。 随着微电子和计算机技术的发展,步进电机的需求量与日俱增,在各个国民经济领域都有应用。步进电机是一种将电脉冲转化为角位移的执行机构。当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度(称为“步距角”),它的旋转是以固定的角度一步一步运行的。可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;同时可以通过控制脉冲频率来控制电机转动的速度和加速度,从而达到调速的目的。步进电机可以作为一种控制用的特种电机,其优点是结构简单、运行可靠、控制方便。尤其是步距值不受电压、温度的变化的影响、误差不会长期积累的特点,给实际的应用带来了很大的方便。它广泛用于消费类产品(打印机、照相机、雕刻机)、工业控制(数控机床、工业机器人)、医疗器械等机电产品中。研究步进电机的控制和测量方法,对提高控制精度和响应速度、节约能源等都具有重要意义。控制核心采用C51芯片,它以其独特的低成本,小体积广受欢迎,当然其易编程也是不可多得的优点为此,本文设计了一个单片机控制步进电机的控制系统,可以实现对步进电机转动速度和转动方向的高效控制。 1.2 设计目的及系统功能 本设计的目的是以单片机为核心设计出一个单片机控制步进电机的控制系统。本系统采用AT89C51作为控制单元,通过键盘实现对步进电机转动方向及转动速度的控制,并且将步进电机的转动速度动态显示在LED数码管上。 1

毕业设计论文 基于单片机的步进电机控制器

第1章绪论 (2) 1.1引言 (2) 1.2步进电机常见的控制方案与驱动技术简介 (4) 1.2.1常见的步进电机控制方案 (4) 1.2.2步进电机驱动技术 (6) 1.3本文研究的内容 (8) 第2章步进电机概述 (9) 2.1步进电机的分类 (9) 2.2步进电机的工作原理 (10) 2.2.1结构及基本原理 (10) 2.2.2两相电机的步进顺序 (10) 2.3 步进电机的工作特点 (13) 2.4本章小结 (15) 第3章系统的硬件设计 (16) 3.1系统设计方案 (16) 3.1.1系统的方案简述与设计要求 (16) 3.1.2系统的组成及其对应功能简述 (16) 3.2单片机最小系统 (18) 3.2.1AT89S51简介 (18) 3.2.2单片机最小系统设计 (23) 3.2.3单片机端口分配及功能 (24) 3.3串口通信模块 (24) 3.4数码管显示电路设计 (25) 3.4.1共阳数码管简介 (25) 3.4.2共阳数码管电路图 (26) 3.5电机驱动模块设计 (27) 3.5.1L298简介 (27) 3.5.2电机驱动电路设计 (28) 3.6驱动电流检测模块设计 (30) 3.6.1OP07芯片简介 (30) 3.6.2ADC0804芯片简介 (32) 3.6.3电流检测模块电路图 (35) 3.7独立按键电路设计 (36) 3.8本章小结 (36) 第4章系统的软件实现 (37) 4.1系统软件主流程图 (37) 4.2系统初始化流程图 (38) 4.3按键子程序 (39) 结论 (43) 1

第1章绪论 1.1引言 步进电动机又称脉冲电动机或阶跃电动机,国外一般称为Steppingmotor、Pulse motor或Stepper servo,其应用发展已有约80年的历史。步进电机是一种把电脉冲信号变成直线位移或角位移的控制电机,其位移速度与脉冲频率成正比,位移量与脉冲数成正比。步进电机在结构上也是由定子和转子组成,可以对旋转角度和转动速度进行高精度控制。当电流流过定子绕组时,定子绕组产生一矢量磁场,该矢量场会带动转子旋转一角度,使得转子的一对磁极磁场方向与定子的磁场方向一着该磁场旋转一个角度。因此,控制电机转子旋转实际上就是以一定的规律控制定子绕组的电流来产生旋转的磁场。每来一个脉冲电压,转子就旋转一个步距角,称为一步。根据电压脉冲的分配方式,步进电机各相绕组的电流轮流切换,在供给连续脉冲时,就能一步一步地连续转动,从而使电机旋转。步进电机每转一周的步数相同,在不丢步的情况下运行,其步距误差不会长期积累。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,同时步进电机只有周期性的误差而无累积误差,精度高,步进电动机可以在宽广的频率范围内通过改变脉冲频率来实现调速、快速起停、正反转控制等,这是步进电动机最突出的优点[1]。 正是由于步进电机具有突出的优点,所以成了机电一体化的关键产品之一,广泛应用在各种自动化控制系统中。随着微电子和计算机技术的发展,步进电机的需求量与日俱增,在各个国民经济领域都有应用[2]。比如在数控系统中就得到广泛的应用。目前世界各国都在大力发展数控技术,我国的数控系统也取得了很大的发展,我国已经能够自行研制开发适合我国数控机床发展需要的各种档次的数控系统。虽然与发达国家相比,我们我国的数控技术方面整体发展水平还比较低,但已经在我国占有非常重要的地位,并起了 2

步进电机控制电路

北京工业大学电子课程设计报告 (数电部分) 题目:步进电机

目录 一、设计题目------------------------------------------------------------------------------------------------3 二、设计任务和设计要求 1.设计题目------------------------------------------------------------------------------------------------3 2.设计技术指标及设计要求----------------------------------------------------------------------------3 三、电路设计------------------------------------------------------------------------------------------------4 1.脉冲发生电路-------------------------------------------------------------------------------------------4 2.环形脉冲分配电路-------------------------------------------------------------------------------------5 3.控制电路-------------------------------------------------------------------------------------------------6 4.驱动电路-----------------------------------------------------------------------------------------------10 5.步进电机-----------------------------------------------------------------------------------------------11 四、电路的组装和调试------------------------------------------------------------------------------------12 1.电路的组装----------------------------------------------------------------------------------------------12 2.电路的调试----------------------------------------------------------------------------------------------13 五、收获和体会---------------------------------------------------------------------------------------------14 六、附录------------------------------------------------------------------------------------------------------15 1.列表-------------------------------------------------------------------------------------------------------15 2.参考资料-------------------------------------------------------------------------------------------------15 3.部分芯片管脚图----------------------------------------------------------------------------------------16

步进电机及其驱动电路

第三节步进电动机及其驱动 一、步进电机的特点与种类 1.步进电机的特点 步进电机又称脉冲电机。它是将电脉冲信号转换成机械角位移的执行元件。每当输入一个电脉冲时,转子就转过一个相应的步距角。转子角位移的大小及转速分别与输入的电脉冲数及频率成正比,并在时间上与输入脉冲同步。只要控制输入电脉冲的数量、频率以及电机绕组通电相序即可获得所需的转角、转速及转向。 步进电动机具有以下特点: ?工作状态不易受各种干扰因素(如电压波动、电流大小与波形变化、温度等)的影响; ?步进电动机的步距角有误差,转子转过一定步数以后也会出现累积误差,但转子转过一转以后,其累积误差变为“零” ; ?由于可以直接用数字信号控制,与微机接口比较容易; ?控制性能好,在起动、停止、反转时不易“丢步”; ?不需要传感器进行反馈,可以进行开环控制; ?缺点是能量效率较低。 就常用的旋转式步进电动机的转子结构来说,可将其分为以下三种: (1)可变磁阻(VR-Variable Reluctance),也叫反应式步进电动机 (2)永磁(PM-Permanent Magnet)型 (3)混合(HB-Hybrid)型 (1)可变磁阻(VR-Variable Reluctance) 结构原理:该类电动机由定子绕组产生的反应电磁力吸引用软磁钢制成的齿形转子作步进驱动,故又称作反应式步进电动机。其结构原理如图3.5定子1 上嵌有线圈,转子2朝定子与转子之间磁阻最小方向转动,并由此而得名可变磁阻型。

图3.6 可变式阻步进电机 可变磁阻步进电机的特点: 反应式电动机的定子与转子均不含永久磁铁,故无励磁时没有保持力; 需要将气隙作得尽可能小,例如几个微米; 结构简单,运行频率高,可产生中等转矩,步距角小(0.09~9°) 制造材料费用低; 有些数控机床及工业机器人上使用。 (3)混合(HB-Hybrid)型 结构原理 这类电机是PM式和VR式的复合形式。其定子与VR类似,表面制有小齿,转子由永磁铁和铁心构成,同样切有小齿,为了减小步距角可以在结构上增加转子和定子的齿数。其结构如图3.7所示。 混合式步进电机特点: HB兼有PM和VR式步进电机的特点: 步距角可以做得较小(0.9~3.6°); 无励磁时具有保持力; 可以产生较大转矩,应用较广。

相关文档
最新文档