数字电路实验2 译码器编码器

实验二 译码器、编码器及其应用

一、实验目的

1. 掌握中规模集成译码器、编码器的逻辑功能和使用方法。 2. 熟悉数码管的使用。

二、实验原理

译码器是一个少输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。

译码器可分为通用译码器和专用译码器两大类。前者又分为变量译码器和代码变换译码器。

a . 变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线—4线、3线—8线和4线—16线译码器。若有n 个输入变量,则有2n 个不同的组合状态,就有2n 个输出端供其使用。而每个输出所代表的函数对应于n 个输入变量的最小项。

以3线—8线译码器74LS138为例进行分析,图9—1 分别为其逻辑图及引脚排列。 其中2A 、1A 、0A 为地址输入端,0Y ~7Y 为译码输出端,1S 、2S 、3S 为使能端。

3

21S S S A0 A1 A2

图9-1 3—8线译码器74LS138逻辑图及引脚排列

表9-1为74LS138功能表,当11=S ,032=+S S 时,器件使能,地址码所指定的输出有信号(为0)输出,其他所有输出端均无信号(全为1)输出。当01=S ,X S S =+32时,或X S =1,132=+S S 时,译码器被禁止,所有输出同时为1。

表9-1

A0 A1 A2S3 S2 S1 Y 7 GND

(以下删除若干行)。

b.数据显示译码器

七段发光二极管(LED)数码管

LED数码管是目前最常用的数字显示器,(删除若字)。

一个LED数码管可用来显示一位0~9十进制和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器(一般有74LS248),该译码器不但要完成译码功能,还要有相当的驱动能力。

c.编码器

编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是和译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类:二进制编码器;二-十进制编码器(如74LS147);优先编码器(74LS148)。

三、实验内容及步骤

1.译码器实验

①将二进制2-4线译码器74LS139,及74LS138二进制3-8线译码器分别插入实验系统IC空插座中。

按图9-2接线,输入G、A、B信号,观测LED输出Y0、Y1、Y2、Y3地状态,并将结果填入表1.5.1中。

图9-2 74LS139 2-4线译码器实验线路

按图9-3接线,输入G1、G2A、G2B、A、B、C信号,观测LED输出Y0~Y7。使能信号G1、G2A、G2B满足表1.5.2条件时,译码器选通。

图9-3 74LS138 3-8线译码器实验线路

译码器扩展,用74LS139双2-4线译码器可接成3-8线译码器,按图9-4接线,功能表由学生自己画。

图9-4 2-4线译码器宽展

②将译码驱动器74LS48(或74LS248)和共阴极数码LC5011-11插入实验箱空IC插座中(已插好),按图9-5接线。接通电源后,观测数码管显示结果,填入自己绘制的功能表中。

图9-5 译码显示实验图

2.编码器实验

a.将10—4线(十进制—BCD码)编码器74LS147插入实验系统IC空插座中,按照原理图9-6接线,其中输入接9位逻辑0—1开关,输出Q D、Q C、Q B、Q A接4号LED 发光二极管。接通电源,按表1.5.3输入各逻辑电平,观测输出结果并填入表1.5.3中。b.将8—3线优先编码器按上述方法进行实验论证。其接线图如图9-7所示。功能表见表1.5.4。

图9-6 10-4线编码器实验接线图

图9-7 8-3线编码器实验接线图

四、实验设备与器件

1.THDM系列数模电实验系统

2.直流稳压电源

3.集成电路:74LS138, 74LS147, 74LS248(或48), 74LS139, 74LS148 4.显示器LC5011-11.

5.万用表。

五、实验预习要求

1.复习有关译码器和编码器的原理。

2.熟悉实验中所有译码器、编码器集成电路地管脚排列和逻辑功能。3.根据实验任务,画出所需的实验线路及记录表格。

六、实验报告

1.整理实验线路图和实验数据、表格。

2.总结用集成电路进行扩展地方法。

3.比较用门电路组成组合电路和应用专用集成电路各有什么有缺点。

实验二 编码、译码与显示

实验二编码、译码与显示 实验目的 1.了解编码器、译码器与显示器的工作原理 2.熟悉CMOS中规模器件的使用方法。 实验要求 使用编码器、译码器实现编、译码的功能 使用译码器实现一位全减器设计 实验仪器及材料 数字试验箱 器件 CD4532 8-3线优先编码器一片 CD4511 BCD七段字型译码驱动器一片 74LS138 3-8线译码器/分配器一片 实验内容 实验前按每个实验电路联线,检查无误后方可接通电源,U cc=+5V,如改接电路,必须断开电源后进行。 1、按图1接线,按表1顺序给8-3线优先编码器的信号输入端送入相应电平,将结果 填入表中,与附录中CD4532的功能表相对照,检查是否符合优先顺序编码以及编码结果是否正确。 2、将译码器CD4511的数据输入端接编码器CD4532的输出端,检查编码对象与数字 显示是否一致,若不一致,分析原因,检查故障并排除(图2)。(本数字实验箱上已经完成了译码器4511和数码管之间的连接。实验时,只要将十进制的BCD码连接至译码器的相应输入端,即可显示0~9的数字。) 图1 D0-D7数据输入端EI选通输入端Q0~Q2编码输出端QGS组选通输出端EO选通输出端

图2 BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。 LT:3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入DCBA 状态如何,七段均发亮,显示“8”。它主要用来检测数码管是否损坏。 LE:锁定控制端,当LE=0时,允许译码输出。LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。 A、B、C、D为8421BCD码输入端。 a、b、c、d、e、f、g:为译码输出端,输出为高电平1有效。 表1 实验数据记录表 3、3-8线译码器逻辑功能测试 按图3连线,完成表2记录要求。

数字电子技术实验报告2

实验成绩实验日期指导教师批阅日期 实验名称编码译码与显示 1、实验目的 掌握编码器、译码器与显示器的工作原理、测试方法以及应用。 2、实验原理 编码器、译码器是数字系统中常用的逻辑部件,而且是一种组合逻辑电路。 1.编码器 把状态或指令等转换为与其对应的二进制代码叫编码,例如可以用四位二进制所组成的编码表示十进制数0~9,把十进制数的0编成二进制数码0000,把十进制数的5编成二进制数码0101等。完成编码工作的电路.通称为编码器。 2.译码器 译码是编码的逆过程。译码器的作用是将输入代码的原意“翻译”出来。译码器的种类较多,如:最小项译码器(3线/8线、4线/16线译码器等)b、七段字形译码器等。 七段字形译码器,其作用是将输入的四位BCD码D、C、B、A翻译成与其对应的七段字形输出信号,用于显示字形。 常用的七段字形译码器有 TTL的:T338(OC输出),74LS48、74LS248(内部带有上拉电阻) CMOS的:CD4511、MC14543、MC14547等。 3.显示器 (1)发光二极管(LED)。把电能转换成可见光(光能)的一种特殊半导体器件,其构造与普通PN 结二极管相同。 (2)LED显示器。用LED构成数字显示器件时,需将若干个LED按照数字显示的要求集成- -个图案,就构成LED显示器(俗称“数码管”)。 3、实验步骤 (1)按图连线,按表顺序给8线/3线优先编码器CD4532的信号输入端送入相应电平,将结果填入表中,与 CD4532的功能表相 对照,检查是否符 合优先顺序以及编 码结果是否正确。 注意:输入由逻辑 开关给定。输出连 接逻辑电平指示。

数电实验二数据编码器和译码器功能验证

数电实验二数据编码器和译码器功能验证数据编码器和译码器是数电实验中常用的电路元件,用于将逻辑电平 转换为二进制编码或者从二进制编码转换为逻辑电平。本实验将验证编码 器和译码器的功能。 编码器是一种将多个输入信号转换为对应的二进制编码输出信号的电路。常见的编码器有优先编码器,BCD编码器和十进制-二进制编码器等。本实验将以优先编码器为例进行验证。 实验所需器件和元件: 1.优先编码器芯片(例如74LS148) 2.开关等输入元件 3.LED灯等输出元件 4.电源和杜邦线等实验用品 实验步骤: 1.连接电源和电路元件:将电源连接到优先编码器芯片上,并将开关 等输入元件和LED灯等输出元件连接到芯片上相应的管脚上。 2.编码器功能验证:通过设置不同的输入信号,观察输出信号的变化。例如,设置开关为输入信号,并将不同的开关打开或关闭,观察LED灯的 亮灭情况。 3.结果分析:根据编码器的功能特点,分析输出信号与输入信号的对 应关系。对于优先编码器而言,输入信号优先级较高的输入将被编码输出,而其他输入则被忽略。

4.译码器功能验证:将输入信号与编码器的输出信号连接,观察译码器的输出信号。可以通过设计逻辑门电路来实现译码器的功能。 5.结果分析:根据译码器的功能特点,分析输出信号与输入信号的对应关系。例如,对于BCD编码器而言,4位BCD码将被译码为10位二进制信号。 6.实验总结:通过本实验的验证,可以得出编码器和译码器的功能特点和应用范围。编码器可以将多个输入信号编码为二进制信号输出,而译码器可以将二进制信号译码为对应的输出信号,用于实现数据的编码和译码。 本实验的目的是验证编码器和译码器的功能,通过观察输入信号和输出信号的对应关系,可以了解编码器和译码器的工作原理,并掌握它们的应用场景。实验结果应与预期结果一致,即输入信号与编码/译码输出信号之间有明确的对应关系。同时,实验还可以加深对数字电路和逻辑门电路的理解,提高实验操作能力和分析问题的能力。

数字实验二 组合逻辑电路的分析及设计

实验二组合逻辑电路的分析与设计 一、实验目的 1、掌握组合逻辑电路的分析与设计方法。 2、加深对基本门电路使用的理解。 二、实验设备与器件 1、数字逻辑实验箱 2、器件 74LS00 2片 74LS20 1片 三、实验原理 1、组合逻辑电路是最常用的数字电路,在电路结构上基本是由逻辑门电路组成。常见的典型电路有编码器、译码器、数据选择器、比较器、全加器等。组合逻辑电路的分析,就是找出给定逻辑电路输出和输入之间的关系,从而了解其逻辑功能。一般分析方法如下: (1)由逻辑图写出各输出端的逻辑表达式; (2)化简和变换各逻辑表达式; (3)列出真值表; (4)根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。 2、组合逻辑电路的设计就是按照具体逻辑命题设计出最简单的组合电路。设计组合逻辑电路的一般步骤与上面相反,方法如下: (1)分析给定的实际逻辑问题的因果关系,确定输入和输出变量,进行逻辑状态赋值; (2)根据给定的因果关系,列出真值表; (3)用卡诺图或代数化简法求出最简的逻辑表达式; (4)根据表达式,画出逻辑电路图,用标准器件构成电路; (5)最后,用实验来验证设计的正确性。

四、实验室操作实验内容 1、组合逻辑电路的分析。 (1)测试图4.1所示电路逻辑功能。A、B、C为输入变量,F为输出变量。 1)由图写出输出端F的逻辑表达式:F=_________________________。 2)对逻辑表达式进行化简:F=_________________________。 3)按F的最简表达式列出真值表。填入表4.1中。 4)根据真值表确定此电路的功能为:_____________________________。 5)按图4.1在实验箱上连接电路,A、B、C接实验箱的逻辑电平开关,F接发光二极管。按表4.1改变输入端的逻辑状态,将实测结果填入表4.1中。比较实测值和理论值是否一致。 A C 图4.1 组合逻辑电路

数字电路实验报告-译码器及其应用

电学实验报告模板 实验原理 1. 译码器 (1)2线-4线译码器 图1 2线-4线译码器及其逻辑 图1所示为2线-4线译码器及其逻辑。与4线-2线编码器相比较,可以把“译码”视为“编码”的逆过程。该译码器的特点是:对于任何一个输入二进制码,四个输出端中,只有一个为“1”,其它输出端均为“0”。每一个输入二进制码,都与一个特定的输出端相对应。不同的输入码各对应不同的输出端。 译码器的逻辑功能也可以理解为把每一个输入二进制码翻译成另外一个代码。具体到图1所示2线-4线译码器,则是把每一个输入二进制码翻译成另外一种四位码,每一个四位码中都只有一个“1”。 由图1(b)可得到编码器逻辑函数式为 (2)3线-8线译码器74LS138

图2 3线-8线编码器74LS138及其逻辑 图2所示为集成电路芯片3线-8线编码器74LS138及其逻辑。和是译码输入端,是译码输出端。和为译码使能控制端。当,时,译码器处于正常译码工作状态;否则,译码器被禁止,此时,所有的输出端全部为“1”,无任何输出端为“0”。这3个使能控制端,也称为“片选”输入端,利用其“片选”作用可以将多片译码器连接起来,以扩展译码功能。 当,时,译码器的逻辑函数式为 (3)七段显示译码器

图3 七段显示译码器及其逻辑 图3所示为七段显示译码器。和是输入的BCD代码,表示输出的7位二进制代码。输出代码中的“1”表示所对应的数码管线段点亮,“0”则表示熄灭。图3(b)列出了BCD码“0000~1001”十种状态与之间的对应关系,还列出了输入码“1010~1111”六种状态与之间的对应关系及所显示的字形。 由图3(b)可得到该编码器逻辑函数式为

数字电路实验2 译码器编码器

实验二 译码器、编码器及其应用 一、实验目的 1. 掌握中规模集成译码器、编码器的逻辑功能和使用方法。 2. 熟悉数码管的使用。 二、实验原理 译码器是一个少输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和专用译码器两大类。前者又分为变量译码器和代码变换译码器。 a . 变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线—4线、3线—8线和4线—16线译码器。若有n 个输入变量,则有2n 个不同的组合状态,就有2n 个输出端供其使用。而每个输出所代表的函数对应于n 个输入变量的最小项。 以3线—8线译码器74LS138为例进行分析,图9—1 分别为其逻辑图及引脚排列。 其中2A 、1A 、0A 为地址输入端,0Y ~7Y 为译码输出端,1S 、2S 、3S 为使能端。 3 21S S S A0 A1 A2

图9-1 3—8线译码器74LS138逻辑图及引脚排列 表9-1为74LS138功能表,当11=S ,032=+S S 时,器件使能,地址码所指定的输出有信号(为0)输出,其他所有输出端均无信号(全为1)输出。当01=S ,X S S =+32时,或X S =1,132=+S S 时,译码器被禁止,所有输出同时为1。 表9-1 A0 A1 A2S3 S2 S1 Y 7 GND

(以下删除若干行)。 b.数据显示译码器 七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,(删除若字)。 一个LED数码管可用来显示一位0~9十进制和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器(一般有74LS248),该译码器不但要完成译码功能,还要有相当的驱动能力。 c.编码器 编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是和译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类:二进制编码器;二-十进制编码器(如74LS147);优先编码器(74LS148)。 三、实验内容及步骤 1.译码器实验 ①将二进制2-4线译码器74LS139,及74LS138二进制3-8线译码器分别插入实验系统IC空插座中。 按图9-2接线,输入G、A、B信号,观测LED输出Y0、Y1、Y2、Y3地状态,并将结果填入表1.5.1中。 图9-2 74LS139 2-4线译码器实验线路 按图9-3接线,输入G1、G2A、G2B、A、B、C信号,观测LED输出Y0~Y7。使能信号G1、G2A、G2B满足表1.5.2条件时,译码器选通。

译码器和编码器实验报告

译码器和编码器实验报告 一、实验目的。 本实验旨在通过对译码器和编码器的实验操作,加深对数字电路中信号处理的理解,掌握数字电路的基本原理和实际应用技能。 二、实验原理。 1. 译码器。 译码器是将输入的代码转换成特定的输出形式的数字电路。它可以将一个或多个输入代码转换成一个或多个输出代码。常见的译码器有BCD译码器、7段译码器等。 2. 编码器。 编码器是将输入的信息转换成特定的代码输出的数字电路。它可以将一个或多个输入信息转换成一个或多个输出代码。常见的编码器有BCD编码器、优先编码器等。 三、实验内容。 1. 验证74LS138译码器的功能。 将74LS138译码器连接至示波器和开关,输入不同的代码,观察输出端的变化情况,并记录实验数据。 2. 验证74LS147编码器的功能。 将74LS147编码器连接至示波器和开关,输入不同的信息,观察输出端的变化情况,并记录实验数据。 3. 总结实验数据。

分析实验数据,总结译码器和编码器的功能特点,对比它们的异同点。 四、实验步骤。 1. 将74LS138译码器按照电路连接图连接至示波器和开关,依次输入不同的代码,记录输出端的变化情况。 2. 将74LS147编码器按照电路连接图连接至示波器和开关,依次输入不同的信息,记录输出端的变化情况。 3. 对比实验数据,总结译码器和编码器的功能特点,撰写实验报告。 五、实验数据记录与分析。 1. 74LS138译码器实验数据。 输入代码,000,输出端,Y0=1,Y1=0,Y2=0。 输入代码,001,输出端,Y0=0,Y1=1,Y2=0。 输入代码,010,输出端,Y0=1,Y1=1,Y2=0。 输入代码,011,输出端,Y0=0,Y1=0,Y2=1。 输入代码,100,输出端,Y0=1,Y1=0,Y2=1。 输入代码,101,输出端,Y0=0,Y1=1,Y2=1。 输入代码,110,输出端,Y0=1,Y1=1,Y2=1。 输入代码,111,输出端,无输出。 2. 74LS147编码器实验数据。 输入信息,0,输出端,A0=1,B0=0,C0=0,D0=0。 输入信息,1,输出端,A0=0,B0=1,C0=0,D0=0。

数电实验二

数电实验二 实验二:组合逻辑电路(MSI和设计) 一、实验目的: 1、了解集成编码器74HC148、译码器74HC138、集成数据选择器74HC151、加法器 74HC283、数值比较器74HC85的管脚排列和管脚功能、性能及使用方法; 2、掌握用SSI小规模集成器件设计组合逻辑电路的方法,用实验验证所设计电路的 功 能; 3、掌握用MSI中规模集成器件设计组合逻辑电路的方法,用实验验证所设计电路的 功 能。 二、知识点提示: 1、组合逻辑电路的设计方法 (1)首先根据给出的实际逻辑问题进行逻辑设计,将给定的因果关系进行逻辑抽象, 列出逻辑真值表; (2)根据真值表写出相对应的逻辑表达式,并化成适合的形式; (3)选定集成器件 类型;(应该根据电路的具体要求和器件的资源情况来决定) (4)再根据逻辑表达式, 画出逻辑电路图; (5)在逻辑电路图上标出对应器件管脚号,然后进行接线,实验验证其设计功能。 2、中规模集成器件电路特点 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,可以使用这些功 能器件实现组合逻辑函数,方法是逻辑函数对比法。具体设计方法见教材。 三、实验原理: 1、MSI中规模集成电路的管脚图和功能表,及使用说明。 ①译码器(74HC138) 一个n变量的译码器的输出包含了n变量的所有最小项。例如,3线/8线译码器 (74HCl38)8个输出包含了3个变量的全部最小项的译码。用n变量译码器加上输出 与非门电路,就能获得任何形式的输入变量不大于n的组合逻辑电路。

74LSl38是3-8线译码器,其外引脚排列如图2-1所示。74HCl38译码器有3个使能 端S1、S2、S3,当S1=l、S2=0、S3=0时允许译码,否则禁止译码,且A2、A1、A0为3个地址输入端,Y0、Y1、Y2、Y3、Y4、Y5、Y6、Y7为8个输出端。 注:74HCl38的功能表见教材P176。 图2-1 74HC138引脚排列图 1 ②优先编码器(74HC148) 74HC148是8-3线优先编码器,其外引线排列如图2-2所示。I0,I1,…,I7为8个 信号输入,低电平有效。Y2、Y1、Y0为3位代码输出(反码输出)。S为选通输入端,当 S=0时允许编码:当S=1时输出Y2、Y1、Y0和YEX、YS被封锁,编码被禁止。YS是选通 输出端,级联应用时,高位片的YS端与低位片的S端相连接,可以扩展优先编码功能。YEX为优先扩展输出端,级联应用时可作为输出位的扩展端。74LSl48功能表见教材P170。 图2-2 74HC148集成电路管脚图 ③数据选择器(74HC151) 一个n个地址端的数据选择器,具有对2n个数据选择的功能。例如,数据选择器 (74HC151),n=3,可完成八选一的功能。A2、A1、A0为3个地址输入端,S为芯片使 能端。 图2-3 74HC151集成电路引脚排列图④四位超前进位全加器((74HC283) 图2-4 74HC283集成电路引脚排列图 ⑤七段显示译码驱动器(74HC47) 74HC47是驱动共阳极数码管的译码驱动器。其外引线排列如图2-5所示。为了直接驱动指示灯,74LS47的输出是低电平作用的,即输出为0时,对应字段点亮:输出为1时,对应字段熄灭。A、B、C,D接收二进制码输入,Qa、Qb、Qc、Qd、Qe、Qf、Qg的输出分

编码器 译码器实验报告

编码器译码器实验报告 编码器和译码器实验报告 引言 编码器和译码器是数字电路中常见的重要组件,它们在信息传输和处理中起着至关重要的作用。本实验旨在通过实际操作和观察,深入了解编码器和译码器的原理、工作方式以及应用场景。 实验一:编码器 编码器是一种将多个输入信号转换为较少数量输出信号的电路。在本实验中,我们使用了4-2编码器作为示例。 1. 实验目的 掌握4-2编码器的工作原理和应用场景。 2. 实验器材 - 4-2编码器芯片 - 开发板 - 连接线 3. 实验步骤 首先,将4-2编码器芯片插入开发板上的对应插槽。然后,使用连接线将编码器的输入引脚与开发板上的开关连接,将输出引脚与数码管连接。 接下来,按照编码器的真值表,将开关设置为不同的组合,观察数码管上显示的输出结果。记录下每种输入组合对应的输出结果。 4. 实验结果与分析 通过观察实验结果,我们可以发现4-2编码器的工作原理。它将4个输入信号

转换为2个输出信号,其中每个输入组合对应唯一的输出组合。这种编码方式 可以有效地减少输出信号的数量,提高信息传输的效率。 实验二:译码器 译码器是一种将少量输入信号转换为较多数量输出信号的电路。在本实验中, 我们使用了2-4译码器作为示例。 1. 实验目的 掌握2-4译码器的工作原理和应用场景。 2. 实验器材 - 2-4译码器芯片 - 开发板 - 连接线 3. 实验步骤 首先,将2-4译码器芯片插入开发板上的对应插槽。然后,使用连接线将译码 器的输入引脚与开发板上的开关连接,将输出引脚与LED灯连接。 接下来,按照译码器的真值表,将开关设置为不同的组合,观察LED灯的亮灭 情况。记录下每种输入组合对应的输出结果。 4. 实验结果与分析 通过观察实验结果,我们可以发现2-4译码器的工作原理。它将2个输入信号 转换为4个输出信号,其中每个输入组合对应唯一的输出组合。这种译码方式 可以实现多对一的映射关系,方便信号的解码和处理。 实验三:编码器和译码器的应用 编码器和译码器在数字电路中有广泛的应用场景。以下是一些常见的应用示例:

数字电路实验二--译码器实验报告

深圳大学实验报告实验课程名称:数字电路与逻辑设计实验项目名称:译码器 学院:专业: 报告人:学号:班级:同组人: 指导教师: 实验时间: 实验报告提交时间:

实验报告包含内容 一、实验目的与要求 1.了解和正确使用MSI组合逻辑部件; 2.掌握一般组合逻辑电路的特点及分析、设计方法; 3. 学会对所设计的电路进行静态功能测试的方法; 4. 观察组合逻辑电路的竞争冒险现象。 二、实验说明 译码器是组合逻辑电路的一部分。所谓译码就是不代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: 1.二进制译码器:把二进制代码的各种状态,按照其原意翻译成对应输出信号的电路。如中规模2线—4线译码器74LS139,3线—8线译码器74LS138等。 2.二—十进制译码器:把输入BCC码的十个代码译成十个高、低电平信号。 3.字符显示译码器:把数字、文字和符号的二进制编码翻译成人们习惯的形式并直观地显示出来的电路,如共阴极数码管译码驱动的74LS48(74LS248),共阳极数码管译码驱动的74LS49(74LS249)等。 三、实验设备 1.RXB-1B数字电路实验箱 2.器件 74LS00 四2输入与非门 74LS20 双4输入与非门 74LS138 3线—8线译码器 四、任务与步骤 任务一:测试74LS138逻辑功能 将一片74LS138译码器插入RXB-1B数字电路实验箱的IC空插座中,按图3-15接线。A0、A1、A2、STA、STB、STC端是输入端,分别接至数字电路实验箱的任意6个电平开关。Y7、Y6、Y5、Y4、Y3、Y2、Y1、Y0输出端,分别接至数字电路实验箱的电平显示器的任意8个发光二极管的插孔8号引脚地接至RXB—IB型数字电路实验箱的电源“ ”,16号引脚+5V接至RXB-1B数字电路实验箱的电源“+5V”。按表3-2中输入值设置电平开关状态,观察发光二极管(简称LED)的状态,并将结果填入表中。 根据实验数据归纳出74LS138芯片的功能。

实验二组合逻辑电路编码器译码器的设计与测试

实验二组合逻辑电路编码器译码器的设计与测试 一、实验目的 1.掌握编码器的原理和基本结构; 2.了解译码器的原理和基本结构; 3.掌握编码器和译码器的设计方法; 4.通过实验,验证编码器和译码器的功能。 二、实验原理 编码器是一种将多个输入信号转换为二进制编码输出的组合逻辑电路。编码器的输入信号可以是多个,输出信号是二进制编码。 编码器主要用于将多个不同的输入信号通过编码转换为数字输出,使 得电路的复杂度得到简化。 译码器就是编码器的逆过程,译码器是一种将二进制编码转换为多个 输出信号的组合逻辑电路。译码器的输入信号是二进制编码,输出信号可 以是多个。 编码器和译码器是数字电路中非常重要的组合逻辑电路,广泛应用于 计算机、通信、控制等领域。 三、实验内容 根据所给的真值表,设计并实现一个2-4线的编码器; 设计和实现一个4-2线的译码器; 验证实验结果。

四、实验仪器和器件 五、实验步骤 1.编码器的设计和实现 根据所给的真值表,设计并实现一个2-4线的编码器。 首先,根据编码器的输入和输出关系,设计出2-4线的编码器的真值表,并根据真值表进行逻辑设计。编码器的输入信号有2个,输出信号是 4位的二进制编码。 最后,将开关和LED灯连接到逻辑电路上,进行测试和验证。调试完 毕后,记录下测试结果。 2.译码器的设计和实现 设计和实现一个4-2线的译码器。 首先,根据译码器的输入和输出关系,设计出4-2线的译码器的真值表,并根据真值表进行逻辑设计。译码器的输入信号是4位的二进制编码,输出信号有2个。 最后,将开关和LED灯连接到逻辑电路上,进行测试和验证。调试完 毕后,记录下测试结果。 3.验证实验结果 通过对编码器和译码器的测试,验证实验结果是否符合设计要求。当 输入信号发生变化时,观察LED灯的亮灭情况,确认编码器和译码器的功 能是否正确。 六、实验结果与分析

译码器和编码器实验报告

译码器和编码器实验报告 译码器和编码器实验报告 引言: 在现代通信系统中,信息的传输是非常重要的。为了确保信息的准确性和完整性,在信号传输过程中,编码和解码起着至关重要的作用。本实验旨在研究和探索译码器和编码器的工作原理以及它们在通信中的应用。 一、实验目的 本实验的主要目的是理解和掌握译码器和编码器的基本原理,并通过实际操作来验证其工作过程。通过这个实验,我们将能够深入了解编码和解码技术在信息传输中的重要性。 二、实验材料和方法 1. 实验材料: - 译码器芯片 - 编码器芯片 - 逻辑门芯片 - 电路板 - 连接线 - 电源 2. 实验方法: - 将译码器和编码器芯片与逻辑门芯片连接到电路板上。 - 使用连接线将电路板与电源连接。 - 输入不同的数据信号,观察译码器和编码器的输出结果。

三、实验结果 在实验过程中,我们使用了不同的输入信号,并观察了译码器和编码器的输出 结果。通过实验,我们发现译码器和编码器在信息传输中起着至关重要的作用。译码器的作用是将编码后的信号转换回原始信号。通过输入编码后的信号,译 码器能够识别并还原原始信号。实验中,我们使用了七段译码器,将二进制编 码转换为七段显示器上的数字。通过输入不同的二进制编码,我们观察到七段 显示器上显示的数字与输入编码一致。 编码器的作用是将原始信号转换为编码后的信号。实验中,我们使用了十进制 到四位二进制编码器。通过输入不同的十进制数字,我们观察到编码器输出的 二进制编码与输入数字相对应。 通过实验结果,我们可以得出结论:译码器和编码器在信息传输中起着至关重 要的作用,它们能够确保信息的准确性和完整性。 四、实验分析与讨论 译码器和编码器在现代通信系统中扮演着重要的角色。在数字通信中,信息常 以二进制的形式进行传输。通过使用编码器,我们可以将原始信号转换为二进 制编码,从而方便传输和处理。而译码器则能够将编码后的信号还原为原始信号,以便接收方能够正确理解和解读信息。 除了在数字通信中的应用,译码器和编码器还在许多其他领域中发挥着重要作用。例如,在遥控器中,我们常常使用编码器将按键信号转换为特定的编码, 然后通过无线传输将编码信号发送给电视或其他设备。接收方的设备上则使用 译码器将接收到的编码信号还原为相应的指令,并执行相应的操作。 译码器和编码器的实验不仅帮助我们理解它们的工作原理,还能够让我们深入

译码器、编码器及其应用实验报告

译码器、编码器及其应用实验报告

实验四译码器、编码器及其应用 实验人员:班号:学号: 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出接数字实验箱LED管,地址输入接实验箱开关,使能端接固定电平(或GND)。电 路图如Figure 1所示: Figure 2 时,任意拨动开关,观察LED显示状态,记录观察结果。 时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。

用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。 Figure 4 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。

Figure 6 (3) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 而输入端只有三个,故要另用使能端进行片选使两片138译码器进行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡 的导线测试,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入时,应该是输出低电平,故应该第一个小灯亮。实际用

数字逻辑电路 《编码器与译码器》教学设计

编码器与译码器 教学设计 1. 问题引入 从对实训4《编码译码及数码显示》的实验结果分析,引入编码器和译码器的概念。 2. 提出重点难点 重点:如何看懂编/译码器的功能表。 通过编译码器的功能表学会使用编译码器。 难点:能通过功能表选择所需器件。 3. 实训环境准备 多媒体实训室、常用电子设备、《编码译码及数码显示》实训相关器件、面包板等。 4. 教学组织 •通过对实训4《编码译码及数码显示》(见下图)中74LS147和74LS48/74LS47作 用的分析,引入编/译码的概念和编/译码器的功能 •利用实训项目中如何将4人抢答器的输出信号编成二进制代码这个问题,引导学 生设计一个简易的编码器,从而总结出一般编码器构成模型。 •在此基础上,以74LS148和74LS147为例,进一步讨论二进制编码器、二-十进 制优先编码器。在教学中应注意引导学生解读编码器的功能表,特别是使能端的 正确应用。通过功能表学会使用编码器。 •对常用编码的特点及如何选择编码器等内容可要求学生自学。 •在前面的分析中,学生已经建立了译码的概念,通过分析一个简单的两位二进制 代码译码器的构成,总结出一般译码器的构成模型,如图4.6所示。

图4.6 译码器示意图 •在此基础上,以74LS138、74LS42和74LS47为例,进一步讨论二进制译码器、 二-十进制译码器和字符显示译码器。在教学中应注意引导学生解读译码器的功 能表,特别是使能端的正确应用,通过功能表学会使用译码器。 •显示器件只介绍LED显示电路,注意强调数码管的共阴和共阳极连接的区别,以 及它们和显示译码器的连接使用。 •LCD显示电路为学生自学内容。 •编/译码器应用实例一节,可在编码器和译码器的应用中各选一个应用实例介绍, 例如“微控制器报警编码电路”和“译码器作地址译码器”。其余的应用实例可作 为学生课后自学和提高的内容。 微控制器报警编码电路 译码器作地址译码器 •常用编码器与译码器集成电路简介,作为资料以便学生了解和查阅。 5. 小结 编码就是用二进制码来表示给定的数字、字符或信息。相反把二进制代码翻译成原来信息的过程,称为译码。由于编码方式很多,常用的编码有二进制编码、二—十进制编码和字符编码,故实现这些编码和译码的电路——编码器和译码器也有相应的二进制编/译码器、二—十进制编/译码器和字符编/译码器。 编码器通常有m个输入端和n个输出端,m与n之间满足m≤2n的关系。编码器的功能是从m个输入信号中选中一个并编成一组二进制代码并行输出。 译码器有n个输入端和m个输出端。译码器的功能是将n位并行输入的二进制代码,根据译码要求,选择m个输出中的一个或几个输出译码信息。

实验2 译码器及其应用

实验2 译码器及其应用 10数计计科2班 丁琴(41)林晶(39) 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 1、变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n 个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。以3线-8线译码器74LS138为例进行分析,图5-6-1(a)、(b)分别为其 逻辑图及引脚排列,其中A2 、A1 、A0 为地址输入端,0Y~7Y为译码输出端,S1、2S、 S为使能端。其工作原理为: 3 Yi=S1 S2 S3 mi (1)当S2=S3=0,S1=data时 若m0=1,A2=A1=A0=0时则Y0 =S1= data 改变A2、A1、A0使得data出现在不同的输出端 (2)当S1=1, S2=0,S3=data时 若m0=1,则Y0=data; 改变A2A1A0使得data出现在不同的输出端 对照表5-6-1就可判断其功能是否正常。

(a) (b) 图5-6-1 3-8线译码器74LS138逻辑图及引脚排列 二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图5-6-2所示。若在S1输入端输入数据信息,2S=3S=0,地址码所对应的输出是S1数据信息的反码;若从2S端输入数据信息,令S1=1、3S=0,地址码所对应的输出就是2S端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。 二进制译码器还能方便地实现逻辑函数,如图5-6-3所示,实现的逻辑函数是

译码器、编码器及其应用实验报告

实验四译码器、编码器及其应用 实验人员:班号:学号: 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出接数字实验箱LED 管,地址输入接实验箱开关,使能端接固定电平(或GND)。电路图如Figure 1所示: Figure 2 时,任意拨动开关,观察LED显示状态,记录观察结果。 时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

实验四 译码器、编码器及其应用 郑佳琳 3 (3) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目 刚好够用。 而输入端只有 、 、 三个,故要另用使能端进行片选使两片138译码器进行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的 导线测试 , 在各端子上移动即可。在multisim 中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED ): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入 时,应该是 输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入 时,应该是 输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

译码器和编码器实验

实验三译码器和编码器 一实验目的 1.掌握译码器、编码器的工作原理和特点。 2.熟悉常用译码器、编码器的逻辑功能和它们的典型应用。 二、实验原理和电路 按照逻辑功能的不同特点,常把数字电路分两大类:一类叫做组合逻辑电路,另一类称为时序逻辑电路。组合逻辑电路在任何时刻其输出的稳态值,仅决定于该时刻各个输入信号取值组合的电路。在这种电路中,输入信号作用以前电路所处的状态对输出信号无影响。通常,组合逻辑电路由门电路组成。 组合逻辑电路的分析方法:根据逻辑图进行二步工作: a.根据逻辑图,逐级写出函数表达式。 b.进行化简:用公式法、图形法或真值表进行化简、归纳。 组合逻辑电路的设计方法:就是从给定逻辑要求出发,求出逻辑图。一般分四步进行。 a.分析要求;将问题分析清楚,理清哪些是输入变量,哪些是输出函数。 b.列真值表。 c.进行化简:变量比较少时,用图形法。变量多时,可用公式化简。 d.画逻辑图:按函数要求画逻辑图。 进行前四步工作,设计已基本完成,但还需选择元件——集成电路,进行实验论证。 值得注意的是,这些步骤并不是固定不变的程序,实际设计时,应根据具体情况和问题难易程度进行取舍。 1.译码器 译码器是组合电路的一部分,所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: a.二进制译码器:如中规模2—4线译码器74LS139。,3—8线译码器74LS138等。 b.二—十进制译码器:实现各种代码之间的转换,如BCD码—十进制译码器74LS145等。 c.显示译码器:用来驱动各种数字显示器,如共阴数码管译码驱动74LS48,(74LS248),共阳数码管译码驱动74LS47(74LS247)等。 2.编码器 编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类: a.二进制编码器:如用门电路构成的4—2线,8—3线编码器等。 b.二—十进制编码器:将十进制的0~9编成BCD码,如:10线十进制—4线BCD码编码器74LS147等。 c.优先编码器:如8—3线优先编码器74LS148等。 三、实验内容及步骤 1.译码器实验 (1)将二进制2-4线译码器74LS139,及二进制3-8译码器74LS138分别插入实验系统IC 空插座中。 按图1.3.1接线,输入G、A、B信号(开关开为“1”、关为“0”),观察LED输出Yo、Y1、Y2、Y3的状态(亮为“1”,灭为“0”),并将结果填入表1.3.1中。

相关主题
相关文档
最新文档