赖伟祺数字电子技术课程设计(数字秒表)
电子秒表电路实验报告

电子技术课程设计报告设计题目:电子秒表院(部):物理与电子信息学院专业班级:电子信息工程学生姓名:学号:指导教师:摘要秒表应用于我们生活、工作、运动等需要精确计时的方面。
它由刚开始的机械式秒表发展到今天所常用的数字式秒表。
秒表的计时精度越来越高,功能越来越多,构造也日益复杂。
本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。
复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。
针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。
其次安装并学习了数字电路设计中所常用的Multisim 仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。
关键字:555定时器十进制计数器六进制计数器多谐振荡器目录1.选题与需求分析 (1)1.1设计任务 (1)1.2 设计任务 (1)1.3设计构思 (1)1.4设计软件 (2)2.电子秒表电路分析 (3)2.1总体分析 (3)2.2电路工作总体框图 (3)3.各部分电路设计 (4)3.1启动与停止电路 (4)3.2时钟脉冲发生和控制信号 (4)3.3 设计十进制加法计数器 (6)3.4 设计六进制加法计数器 (7)3.5 清零电路设计 (8)3.7 总体电路图: (10)4 结束语与心得体会 (12)1.选题与需求分析1.1设计任务电子秒表在生活中可广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合.测定短时间间隔的仪表。
有机械秒表和电子秒表两类。
机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒,广泛应用于科学研究、体育运动及国防等方面在当今非常注重工作效率的社会环境中。
数字式秒表的课程设计报告

数字式秒表的课程设计报告目录第一章系统概述------------------------------------------------------------------------------1 1.1系统设计思路与总体方案--------------------------------------------------------------1 1.2总体工作过程-----------------------------------------------------------------------------2 1.3各功能块的划分和组成-----------------------------------------------------------------2 1.4芯片简介-----------------------------------------------------------------------------------2 第二章单元电路的设计和总体分析------------------------------------------------------9 2.1毫秒信号的发生电路--------------------------------------------------------------------9 2.2分、秒、毫秒计数电路-----------------------------------------------------------------10 2.3组合设计-----------------------------------------------------------------------------------12第三章总体电路的设计与安装------------------------------------------------------------15 3.1 PCB电路板的制作----------------------------------------------------------------------15 3.1安装调试的步骤--------------------------------------------------------------------------16 3.2遇到的主要的问题及注意事项--------------------------------------------------------17 第四章总结------------------------------------------------------------------------------------18 附录1元器件明细表------------------------------------------------------------------------19 附录2总原理接线图------------------------------------------------------------------------19 参考文献---------------------------------------------------------------------------------------20第一章系统概述1.1系统设计思路与总体方案通过对设计要求的分析,应用相关的数字电子电路方面的知识画出原理图,检查无误后,将原理图在EWB中仿真,验证通过无误后,可以考虑使用何种方案来实现设计电路。
数字电路课程设计电子秒表

机械与电子工程学院课程设计报告《数字电子技术》课程设计专业名称:班级:学号:姓名:指导教师:日期:2012.06.121前言秒表应用于我们生活、工作、运动等需要精确计时的方面。
它由刚开始的机械式秒表发展到今天所常用的数字式秒表。
秒表的计时精度越来越高,功能越来越多,构造也日益复杂。
本次数字电路课程设计的电子秒表的要求为:秒表最大计时值为99.99秒;分辨率为0. 1秒;具有启动计时、停止计时、清零等控制功能。
针对上述设计要求,我们先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件,并仔细阅读《电子技术基础实验》中实验十四《电子秒表》的设计相关资料。
工作安排方面:我们首先在课程设计的要求下设计出了数字式秒表的整体电路框图,将其分基本RS触发器,单稳态触发器,时钟发生器,计数及译码显示装置四个部分。
其次我们对每个单元电路进行设计分析,对其工作原理进行介绍。
完成了单元电路设计分析之后,进行总电路的拼接与调试,最后对总电路图进行分析,写出最终系统综述。
完成总电路的设计与分析之后,对资料与设计电路进行整理,排版,完成课程设计报告。
目录前言 (2)目录 (3)摘要 (4)关键字 (4)设计要求 (4)正文 (5)第一章系统概述 (5)第二章单元电路设计与分析 (6)一、时钟发生器电路设计 (1)二、计数及译码显示电路设计 (7)三、电子秒表的启动和停止电路设计 (7)四、电子秒表的清零电路设计 (8)第三章总体电路图 (9)参考文献、结束语 (10)主要器件及功能表 (10)收获与体会,存在的问题等 (13)课程设计评阅书 (15)电子秒表摘要第一章:系统概述简单介绍数字式秒表的系统设计思路,画出系统框图,并全面介绍总体工作过程或工作原理。
第二章:根据总功能框图的功能划分,分块设计单元电路,对每个单元路进行设计分析。
第三章:数字式秒表总电路图的给出,以及对系统进行综述。
数字秒表设计报告

摘要要求设计一个计数范围在0.0-9.9秒的数字秒表,精确度为0.1秒。
电路设计基本包括0.1秒脉冲发生器、信号控制端、整形电路、计数电路、译码电路和显示器这几部分构成。
0.1秒脉冲发生器由555定时器构成的多谐振荡电路实现,信号控制端由D触发器实现,即74LS74N,能够对整个电路进行清零、计数、停止和复位的作用。
计数器由两个十进制BCD 码74LS160级联而成。
在计数器的四个输出端分别接译码器的四个置数端,译码器由74LS48实现。
这个电路设有两个开关s1,s2,来实现对电路的清零、计数、暂停、复位的控制。
这样,一个简易的数字秒表便设计完成了。
关键字:555定时器、D触发器、编码、译码ABSTRACTDesign a digital stopwatch counting range in 0.0-9.9 seconds, accuracy of 0.1 seconds. Basic including 0.1 second pulse generator circuit design, signal control terminal, shaping circuit, counting circuit, decoding circuit and a display of this a few parts. More than 0.1 second pulse generator composed of 555 timer harmonic oscillation circuit implementation, signal control comprised D flip-flop, namely 74LS74N, can be reset to the whole circuit, counting, stop and reset. Two decimal counter by BCD 74LS160 cascade. In the four output end of the counter four load respectively at the decoder side, decoder by 74LS48 implementation. This circuit is equipped with two switch S1, S2, to implement to reset circuit, counting, suspend, and reset the control. So will design a simple digital stopwatch is complete.Key Word:555 timer, D flip-flop, encoding and decoding目录摘要------------------------------------------------------------------------1 1.设计目的及要求------------------------------------------------------31.1设计目的-----------------------------------------------------------31.2设计要求-----------------------------------------------------------32.设计原理及分析------------------------------------------------------42.1设计构想框图-------------------------------------------------------42.2设计原理分析-------------------------------------------------------42.2.1多谐振荡电路------------------------------------------------42.2.2开关控制端与D触发器----------------------------------------52.2.3与非门电路--------------------------------------------------52.2.4显示译码电路------------------------------------------------53.制作过程--------------------------------------------------------------73.1布局连线-----------------------------------------------------------73.2调试---------------------------------------------------------------73.3遇到问题及解决方法-------------------------------------------------84.心得感悟--------------------------------------------------------------8参考文献------------------------------------------------------------------9附录附录一元器件清单------------------------------------------------------10 附录二电路图----------------------------------------------------------101.设计目的及要求1.1设计目的通过对数字秒表的设计,熟练掌握555定时器脉冲信号产生的原理和D触发器的功能及原理,利用所学的电子技术基础(模拟部分)知识,回顾脉冲信号产生、计数、编码、译码的原理机制,进行对生活中不可或缺的秒表的设计。
数字秒表课程设计

………………….………………………………..………………..……..……………………………………20
鸣谢…………………….…………………………………………………..…………………………………………………….21
收获和体..…………………………………………………………………………………………………………………….21
555定时器的功能
555定时器组成及工作原理如下:
图2-1-5 555定时器电路结构图
如图2-1-5是555定时器电路结构的简化原理图和引脚标识。由电路原理图可见,该集成电路由下述几部分组成:串联电阻分压电路、电压比较器C1和C2、基本RS触发器、放电三极管T以及缓冲器G组成。(注释:编号555的内涵是因该集成电路的基准电压是由三个5kΩ电阻分压组成)
本次实验所做数字式秒表由信号发生系统和计时系统构成。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 –160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由7447构成,为4-7译码。显示器由数码管构成。具体过程为:由晶体震荡器产生100HZ脉冲信号,传入计数ห้องสมุดไป่ตู้统,先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“99时“分”、“秒”、”依次在数码管显示出来。该秒表最大计时值为99时59分59秒9/10,“分”和“99时”为一百进制计数器组成,“秒”为六十进制计数器组成。
由图1中可知,若5脚悬空,当Ui6<2Ucc/3,Ui2<Ucc/3时,比较器C1、C2分别输出高电平和低电平,即R=1, S=0,使基本RS触发器置1,放电三极管截止,输出Uo=1。
数字电路课程设计-电子秒表的设计

目录1.引言1.1设计目的-------------------------------------------------------2 1.2设计内容-------------------------------------------------------2 1.3设计要求-------------------------------------------------------2 2.数字电子秒表设计2.1仪器设备-------------------------------------------------------3 2.2设计原理-------------------------------------------------------32.3电路设计及仿真-------------------------------------------------33.电路的硬件验证--------------------------------------------------104.心得体会---------------------------------------------------------125.参考文献---------------------------------------------------------121.引言1.1设计目的:(1)掌握同步计时器74160、74161的使用方法,并理解其工作原理。
(2)掌握74160、74161进行计数器、分频器的设计方法。
(3)掌握用三态缓冲器74244和74160,74138,7448进行动态显示扫描电路设计的方法。
(4)掌握电子秒表的设计方法。
(5)掌握在EDA系统软件MAX+plusII环境下用FPGA/CPLD进行数字系统设计的方法,掌握该环境下功能仿真、时序仿真、管脚锁定的芯片下载的方法。
(6)掌握用EDA硬件开发系统进行硬件验证的方法。
秒表数字电子技术课程设计方案
沈阳工程学院课程设计设计题目:秒表系别电力学院班级学生姓名学号指导教师张玉梅职称讲师起止日期:2018年 7月7日起——至2018年7月11日止沈阳工程学院课程设计任务书课程设计题目:秒表系别电力学院班级学生姓名学号指导教师张玉梅职称讲师课程设计进行地点: F座任务下达时间: 2018年 7 月 02日起止日期:2018 年 7月7日起——至 2018年7月11日止教研室主任曲延华 2018年 5月25日批准秒表1.设计主要内容及要求1.1设计目的<1)掌握秒表的构成、原理与设计方法;<2)熟悉集成电路的使用方法。
1.2基本要求<1)能进行秒、0.1秒、0.01秒计时;<2)有独立的时间显示电路。
1.3发挥部分<1)预置定时报警<例如1分钟跑等工程)<2)显示完毕后清零并发出响声。
2.设计过程的基本要求:2.1基本部分必须完成,发挥部分可以在已给的或自己寻找的资料范围内任选1-2 个方向:2.2符合设计要求的报告一份,其中包括逻辑电路仿真运行图;2.3设计过程的资料、草稿要求保留并随设计报告一起上交;3.报告的基本要求:3.1报告打印<不少于3000字)、电子版刻盘上交。
3.2装订顺序:封面、任务书、成绩评定表、中文摘要、关键词、目录、正文<正文的具体要求按老师讲课要求)、致谢、参考文献、附录<逻辑原理图)。
4.时间进度安排:2018-7-2沈阳工程学院数字电子技术课程设计成绩评定表系<部):电力学院班级:学生姓名:中文摘要本小组设计的是多组记忆秒表电路。
数字式秒表是电子设计技术中最基本的设计实验之一。
电子秒表在生活中的应用,它可广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合.测定短时间间隔的仪表。
有机械秒表和电子秒表两类。
机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒。
EDA课程设计--数字秒表设计
电子课程设计—数字秒表的设计数字秒表的设计数字秒表的设计一、设计任务与要求1、数字秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。
秒。
2 2、数字秒表的计时精度是、数字秒表的计时精度是10ms 10ms。
3 3、、复位开关可以在任何情况下使用,复位开关可以在任何情况下使用,即便在计时过程中,即便在计时过程中,即便在计时过程中,只要按一下复位只要按一下复位开关,计时器就清零,并做好下次计时的准备。
开关,计时器就清零,并做好下次计时的准备。
4 4、、具有启具有启//停开关,停开关,即按一下启即按一下启即按一下启//停开关,停开关,启动计时器开始计时,启动计时器开始计时,启动计时器开始计时,再按一下再按一下启/停开关则停止计时。
停开关则停止计时。
二、总体框图由频率信号输出端输出频率为100HZ 的时钟信号,输入到微妙模块的时钟端clk ,高/低电平电平频率信号输入输入微妙模块微妙模块秒模块秒模块分模块分模块置数/位选位选显示模块显示模块进位进位微妙模块为100进制的计数器,产生的进位信号co 输入到下一级秒模块的时钟端,以此类推,直到分模块计数到59进60时,产生的进位信号不输出,计数清零。
将微妙、秒、分产生的计数通过置数/位选再通过显示模块实时显示。
设计方案:利用一块芯片完成除时钟源,利用一块芯片完成除时钟源,按键和显示器之外的所有数字电路功按键和显示器之外的所有数字电路功能。
所有数字逻辑功能都在CPLD 器件上用VHDL 语言实现。
这样设计具有体积小,设计周期短,调试方便,故障率地和修改升级容易等特点,本设计采用自顶向下,混合输入方式(原理图输入——顶层文件链接和VHDL 语言输入——各模块程序设计)实现数字秒表的设计,下载和调试。
三、功能模块1.1. 微秒模块微秒模块采用VHDL 语言输入方式,以时钟clk clk,清零信号,清零信号clr 以及暂停信号STOP 为进程敏感变量,程序如下:为进程敏感变量,程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity MINSECONDb isport(clk,clrm,stop:in std_logic;----时钟时钟//清零信号清零信号secm1,secm0:out std_logic_vector(3 downto 0);----秒高位秒高位//低位co:out std_logic);------- co:out std_logic);-------输出输出输出//进位信号进位信号 end MINSECONDb;architecture SEC of MINSECONDb is signal clk1,DOUT2:std_logic;beginprocess(clk,clrm)variable cnt1,cnt0:std_logic_vector(3 downto 0);---计数计数 VARIABLE COUNT2 :INTEGER RANGE 0 TO 10 beginIF CLK'EVENT AND CLK='1'THENIF COUNT2>=0 AND COUNT2<10 THEN COUNT2:=COUNT2+1; ELSE COUNT2:=0;DOUT2<= NOT DOUT2; END IF; END IF;if clrm='1' then----if clrm='1' then----当当clr 为1时,高低位均为0cnt1:="0000"; cnt0:="0000";elsif clk'event and clk='1' then if stop='1' then cnt0:=cnt0; cnt1:=cnt1; end if;if cnt1="1001" and cnt0="1000" ;then----then----当记数为当记数为9898(实际是经过(实际是经过59个记时脉冲)个记时脉冲) co<='1';----co<='1';----进位进位进位cnt0:="1001";----cnt0:="1001";----低位为低位为9elsif cnt0<"1001" then----elsif cnt0<"1001" then----小于小于9时 cnt0:=cnt0+1;----cnt0:=cnt0+1;----计数计数计数 --elsif cnt0="1001" then --clk1<=not clk1;elsecnt0:="0000";if cnt1<"1001" then----if cnt1<"1001" then----高位小于高位小于9时 cnt1:=cnt1+1; elsecnt1:="0000"; co<='0'; end if; end if; end if;secm1<=cnt1; secm0<=cnt0;end process; end SEC;程序生成器件如图:clk clrm stopsecm1[3..0]secm0[3..0]coMINSECONDbinst3微妙模块生成的器件可以实现带有100进制进位和清零功能,暂停等功能,MINSECONDb 输入为100HZ 脉冲和低电平的清零信号CLR 与暂停信号STOP STOP,输出,输出微妙个位、十位及进位信号CO CO。
数字电路课程设计数字秒表
2.5冲不可以通过与门,计数电路就会停止,实现暂停 功能,当S1闭合时,恢复计数;当S1闭合,S2断开时,R0(1) R0(2)都 接低电平,实现计数功能。当S1闭合,S2闭合时,R0(1) R0(2)都接高 电平,实现清零功能。将S1和S2想与是为了实现当电路处于暂停状态时 不能使用清零功能。
2. 设计方案
2.1实验设计原理:
汽车尾灯控制器由时钟发生电路、分频电路、译码电路、开关驱动 电路及终端显示电路五部分组成。本实验设计时钟脉冲源采用电路板上 的1000HZ脉冲,74ls90具有分频功能, 分频电路由三片74ls90芯片组成,最终分频为1HZ。74ls738芯片及四个 与门组成译码电路, 74ls138芯片A0、A2输入端接入输入脉冲,A2端接低电平输出端, Y0、 Y1 、Y4、Y5分别与四个与门与LED灯相接。开关驱动电路由与门、或 门、非门、与非门等门电路连接而成。
11 0 X 11 X 0 X X 11
X 0 X0 0 X 0X 0 X X0 X 0 0X
Q3 Q2 Q1 Q0
0 0 00 0 0 00 1 0 01
计数
表2 当R0(1) R0(2)都接高电平时,实现清零功能。当R0(1) R0(2)都接低 电平时,实现计数功能。故将4个十进制计数器的R0(1) R0(2)相连, 由开关S2控制,实现计数和清零功能。
(3)夜间行车电路控制图
逻辑开关S3接高电位、S4接低电位时
D5、D6灯同时闪烁,表示夜间停车
逻辑开关S3、S4同时接高电位时D5、D6 灯长亮,表示夜间行车。
3. 工作总结及心得体会
实验中电路接线仍然是一个很麻烦的过程,由于这个实验门电路较多,所 以一不小心就会接错线路,或者忘记接了哪个端口。理论上成熟之后,实际操 作是一个很重要的过程。
电子技术课程设计秒表
电子技术课程设计秒表一、教学目标本节课的教学目标是使学生掌握电子技术课程中关于秒表的设计和制作。
具体目标如下:1.了解秒表的基本原理和电子元件的工作原理。
2.掌握秒表的各个组成部分及其功能。
3.熟悉秒表的制作流程和调试方法。
4.能够分析和设计简单的电子电路。
5.能够使用实验仪器和工具进行电子作品的制作和调试。
6.能够运用所学知识解决实际问题。
情感态度价值观目标:1.培养学生的创新意识和团队合作精神。
2.增强学生对电子技术的兴趣和好奇心。
3.培养学生热爱科学、追求真理的情感态度。
二、教学内容本节课的教学内容主要包括以下几个部分:1.秒表的基本原理和电子元件的工作原理。
2.秒表的各个组成部分及其功能。
3.秒表的制作流程和调试方法。
4.实际操作演练,让学生亲自动手制作和调试秒表。
三、教学方法为了达到本节课的教学目标,我们将采用以下教学方法:1.讲授法:讲解秒表的基本原理和电子元件的工作原理,让学生掌握理论知识。
2.讨论法:分组讨论秒表的各个组成部分及其功能,促进学生之间的交流与合作。
3.案例分析法:分析实际案例,让学生了解秒表的制作流程和调试方法。
4.实验法:让学生亲自动手制作和调试秒表,提高学生的实践能力。
四、教学资源为了支持本节课的教学内容和教学方法的实施,我们将准备以下教学资源:1.教材:电子技术课程教材,为学生提供理论知识的学习。
2.参考书:提供电子技术相关的参考书籍,丰富学生的知识体系。
3.多媒体资料:制作课件和教学视频,生动形象地展示秒表的制作过程。
4.实验设备:准备实验仪器和工具,让学生能够亲自动手实践。
五、教学评估为了全面、客观、公正地评估学生的学习成果,我们将采用以下评估方式:1.平时表现:通过观察学生在课堂上的参与程度、提问回答、小组讨论等表现,评估学生的学习态度和积极性。
2.作业:布置相关的作业,让学生能够巩固所学知识,通过批改作业了解学生的掌握程度。
3.考试:安排一次考试,测试学生对秒表设计和制作知识的掌握情况,包括理论知识和实际操作能力。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
江西工业职业技术学院
课程设计报告书
题 目: 数字秒表
系 别: 电子与信息工程分院
专 业: 电子信息与工程
作 者: 赖伟奇 学 号: 20114500
指导老师: 胡蓉
20 年 月
1
目录
一、 设计任务…………………………………………………………2
二、 设计框原理及整机概述 ………………………………………2
三、 各单元电路的设计方案及原理说明……………………………2
四、 各单元电路的集成电路及使用说明……………………………3
五、 设计、安装及调试中的体会……………………………………6
六、 对本次课程设计的意见及建议…………………………………7
附录……………………………………………………………………8
2
数字秒表
一、设计任务书
1. 两个按钮,用1、2表示,在数字秒表计数时,开始,暂停和清零做用。
2. 数码管显示计时时间。
3. 微调电位器对秒表进行调试。
二、设计框图及整机概述
本电路是RS触发器,单稳态触发器,时针发生器及计时器,译码显示单元
电路共同组合的应用。U1A,U1B构成基本RS触发器,其作用是启动和停止秒
表工作,按动K2,U1A-3为高电平,U1B-6为低电平,计数停止。按动K1,计
数清零并开始计数。
三、各单元电路的设计方案及原理说明
1、 基本RS触发器
单元I为用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,
有直接置位、复位的功能。它的一路输出Q作为单稳太触发器的输入,另一跟
路输出Q作为与非门5的输入控制信号。按动按钮开关K2(接地),则门1输
出=1;门2输出Q=0,K2复位后Q、状态保持不变。再按动按钮开关K1;
则Q由0变为1,门5开启,为计数器启动作为准备。由1变0,启动单稳态
触发器工作。基本RS触发器在电子秒表中的职能是启动和停止秒表的工作。
2、 单稳态触发器
图单元II为用集成与非门构成的微分型单稳态触发器,图17-2为各点波
形图。
单稳态触发器的输入触发脉冲信号V1由基本RS触发器端提供,输出负
脉冲V0通过非门加到计数器的清除端R。静态时,门4应处于截止状态,故电
阻R必须小于门的关门电阻ROFF。定时元件RC取值不同,输出脉冲宽度也不
同。当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分电路的RP和CP。
单稳态触发器在电子秒表中的职能是为计数器提供清零信号。
3、时钟发生器
图中单元III为用555定时器构成的多谐振荡器,是一种性能较好的时钟
源。
调节电位器RW,使在输出端3获得频率为100HZ的矩形波信号,当基本RS
触发器Q=1时,门5开启,此时50HZ脉冲信号通过门5作为计数脉冲加于计数
器①的计数输入端CP2。
4、 计数及译码显示
十进制加法计数器74LS160构成电子秒表的计数单元,如图中单元IV所示。
3
其中计数器①接成十进制形式,对频率为100HZ的时钟脉冲进行十分频,在输
出端QD取得周期为0.1S的矩形波脉冲,作为计数器②的时钟输入。计数器②
及计数器③接成8421码十进制形式,其输出端与译码显示单元的相应输入端连
接,可显示0.1~0.9秒;1~9.9秒计时。
四、各单元电路的集成电路及使用说明
1、74LS00
74LS00功能为四2 输入与非门
其真值表及管脚图为:
Inputs输入 Ouput输出
A B Y
L L H
L H H
H L H
H H L
2、74LS160
74LS160 十进制同步计数器(异步清除)其真值表如下( H:高电平,L:低电平,-:上
升沿,X:任意,d0 ~d3 :A~D稳态输入电平)
输入 输出
Cr
LD S1 S2 CP A B C D QA QB QC QD
L X X X X X X X X L L L L
H L X X - d0 d1 d2 d3 d0 d1 d2 d3
H H H H - X X X X 计 数
H H L X X X X X X 保 持
H H X L X X X X X 保 持
4
3、74LS247
74LS247为BCD码输入的四线-七段译码器,数码管采用共阳数码管。
十进制数 或功能 输入 / 输出 字形
D C B A a b c d e f g
0 H H L L L L H L L L L L L O 0
1 H × L L L H H O L L O O O O 1
2 H × L L H L H L L O L L O L 2
3 H × L L H H H L L L L O O L 3
4 H × L H L L H O L L O O L L 4
5 H × L H L H H L O L L O L L 5
6 H × L H H L H L O L L L L L 6
7 H × L H H H H L L L O O O O 7
8 H × H L L L H L L L L L L L 8
9 H × H L L H H L L L L O L L 9
10 H × H L H L H O O O L L O L
11 H × H L H H H O O O L O O L
12 H × H H L L H O L O O O L L
13 H × H H L H H L O O L O L L
14 H × H H H L H O O O L L L L
15 H × H H H H H O O O O O O O 无
消隐 × × × × × × L O O O O O O O 无
脉冲消隐 H L L L L L L O O O O O O O 无
灯测试 L × × × × × H L L L L L L L 8
5
4、NE555
时基集成电路555并不是一种通用型的集成电路,但它却可以组成上百种实用
的电路,可谓变化无穷,故深受人们的欢迎。
555时基电路具有以下几个特点:
(1)555时基电路,是一种将模拟电路和数字电路巧妙结合在一起的电路;
(2)555时基电路可以采用4.5~15V的单独电源,也可以和其它的运算放大
器和TTL电路共用电源;
(3)一个单独的555时基电路,可以提供近15分钟的较准确的定时时间;
(4)555时基电路具有一定的输出功率,最大输出电流达200mA,可直接驱动
继电器、小电动机、指示灯及喇叭等负载。
因此,555时基电路可用作:脉冲发生器、方波发生器、单稳态多谐振荡器、
双稳态多谐振荡器、自由振荡器、内振荡器、定时电路、延时电路、脉冲调制电路、
仪器仪表的各种控制电路及民用电子产品、电子琴、电子玩具等。
无论是进口或国产的时基555集成电路,还是用何种材料封装,其内部电路原
理和管脚的功能则是完全一致的。其各管脚功能如下:
①脚接电源地线,即电源的负极;
②脚为低电位触发端,简称低触发端;
③脚为输出端,可将继电器、小电动机及指示灯等负载的一端与它相连,另一
端接地或电源的正极;
④脚为低电位复位端;
⑤脚为电压控制端,主要是用来调节比较器的触发电位;
⑤脚为高电位触发端,简称高触发端;
⑦脚为放电端;
⑧脚接电源正极。
用555时基电路可组成各种形式的自激式多谐振荡器,其基本电路如图a所示。
当电路刚接通电源时,由于C来不及充电,555电路的②脚处于零电平,导致其输
出③脚为高电平。当电源通过RA、RB向C充电到Vc≥Vcc时,输出端③脚由高电路
平变为低电平,电容C经RB和内部电路的放电开关管放电。当放电到Vc≤Vcc时,
输出端又由低电平转变为高电平。此时电容再次充电,这种过程可周而复始地进行
6
下去,形成自激振荡。图(b)给出了输出端及电容器C上电压的波形。
充电时间 11212()ln20.7()TRRCRRC
放电时间 222ln20.7TRCRC
矩形波的振荡周期121212ln2(2)0.7(2)TTTRRCRRC;因此改变1R、2R和
电容C的值,便可改变矩形波的周期和频率。
五、设计、安装及调试中的体会(不少于300字、宋体小四、单倍
行距)
7
六、对本次课程设计的意见及建议(不少于100字宋体小四、单倍
行距)
本实训配套教材或指导书
[1]王港元.《电工电子实践指导》 南昌:江西科学技术出版社, 2006、2
[2]王成安.《电子技术基本技能综合训练》北京:人民邮电出版社,2006、3
[3]林春方. 《电子线路学习指导与实训》北京:电子工业出版社,2004、6
8
附录
1. 原理图:
9
2. 整机电路板图:
(拍摄图片正面一张、背面一张)
10
江西工业职业技术学院电子与信息工程系
课程设计指导教师评语
班级:电信1班 学生姓名: 赖伟奇 学号:20114500
指导教师评语(包括工作态度,遵守纪律;基本理论、知识、技能;独立工
作能力和分析解决问题的能力;完成任务情况及水平):
学生成绩(五级分制):
指导教师签名: 年 月 日