智能洗衣机控制器设计 Verilog编程

智能洗衣机控制器设计 Verilog编程
智能洗衣机控制器设计 Verilog编程

智能洗衣机控制器设计报告

鑫鑫出品

2010-3-18

目录

一.设计背景 (2)

二.功能简介

基本功能 (2)

扩展功能 (3)

三.模块设计及端口设置

主模块main (3)

工作模式设定模块mode (4)

进水量设定模块Water_t (4)

无供水信号产生模块waterless (5)

危险信号产生模块Unsafe (5)

洗衣过程控制模块wash (6)

报警模块alart (7)

LED灯状态模块LEDs (7)

模块级连线图 (8)

四.电路工作原理 (9)

以s的值为主要状态标志的基本工作流程图 (10)

五.Verilog源程序及注释 (11)

六.小结 (18)

一.设计背景。

如今,带有微型控制芯片的家用电器迅速普及。通过用户简单的设定,这些智能家电能自动完成家务,并向用户及时提供反馈信息。这极大地方便了人们的生活。

智能洗衣机是智能家电的代表。用户只需按几个键,智能洗衣机就能自动完成注水、洗衣、排水、甩干等一系列工作,并在发生事故时给予用户报警并作出正确反应,极大减轻用户的洗衣负担,同时保障用户安全。基于以上功能要求,本人进行了智能洗衣机控制器的设计,并作出此设计报告。

二.功能简介。

基本功能:

a.可选5种洗衣模式,列举如下

1>强力洗衣模式:工作程序为,注水->洗衣->排水->甩干

->注水->漂洗->排水->甩干

->注水->漂洗->排水->甩干。

其中洗衣为30分钟;

2>普通洗衣模式:工作程序为,注水->洗衣->排水->甩干

->注水->漂洗->排水->甩干

->注水->漂洗->排水->甩干。

其中洗衣为20分钟;

3>强力洗衣模式:工作程序为,注水->洗衣->排水->甩干

->注水->漂洗->排水->甩干

->注水->漂洗->排水->甩干。

其中洗衣为10分钟;

4>漂洗模式:工作程序为,注水->漂洗->排水->甩干

->注水->漂洗->排水->甩干。

5>甩干模式:工作程序为,甩干。

以上五种模式中,进水时间为1-3分钟,漂洗为5分钟,

排水2分钟,甩干3分钟。

b.显示洗衣完成所需时间。

c.用不同LED灯显示洗衣机当前的工作状态(注水、洗衣、排水、

甩干)。

d.完成洗衣任务时蜂鸣3声提示。

扩展功能:

a.进水量可调。根据衣物的多少,进水时间有1分钟、2分钟、3

分钟三个档位,并用一个数码管显示所设时间。

b.进水时无水报警。蜂鸣器发出2秒的报警声,并中止洗衣工作。

供水正常后恢复工作。

c.甩干时洗衣机盖被打开则报警。2秒报警声,同时中止甩干操

作。重新盖上盖子后恢复工作。

d.有按键音。

e.设有暂停/继续键。可随时按下暂停洗衣机的工作。再次按

下则继续工作。

f.用两个LED灯标志给电机的控制信号。在洗衣或漂洗时交替

闪亮,象征电机顺时针/逆时针转动的转换。

g.用数码管显示当前工作状态(注水、洗衣、排水、甩干)所

剩时间。

三.模块设计及端口设置。

为完成预定功能,设置1个主模块,7个子模块;使用2个时钟信号端口,6个按键,6个数码管和7个LED。

主模块main:调用其他模块,共同完成预定功能。

输入端口:

CP_1k,CP:分别为1kHz和1Hz方波,分别用于产生蜂鸣信号和系统状态转换及计时的时钟信号;

Start,Pause:开始键和暂停/继续键;

Set,Speed:功能设定,分别用来设定工作模式和进水量;

Open,No_water:警报信号,用来模拟打开洗衣机盖和供水中断

事件的发生。

输出端口:

m[3:0],v[3:0]:数码管显示信号,分别指示工作模式和进水量;

Timer[7:0],Timer_a[7:0]:数码管显示信号,分别表示当前过程

剩余时间和完成所有工作剩余时间。

LED_s,LED_wi,LED_wo,LED_w,LED_d和LED_l,LED_r:LED驱动信号,分别指示正在工作、进水、出水、洗衣、甩干和电机的顺时针、逆时针旋转状态。

a:蜂鸣信号。用于报警、提示有键按下和洗衣完成。

工作模式设定模块mode:设定工作模式。

输入端口:

Set:设定工作模式模式的按键;

输出端口:

m[3:0]:所设定的模式,BCD码,可取1到5的整数;

进水量设定模块Water_t:设定进水量。

输入端口:

Speed:设定进水量,按键;

输出端口:

V[3:0]:所设定的进水时间,BCD码,可取取1到3的整数;

无供水信号产生模块waterless:产生无水报警信号。

输入端口:

No_water:供水中断/恢复信号,按键;

输出端口:

water_out:供水情况指示量,值为1表示无供水,0表示

供水正常;

危险信号产生模块Unsafe:产生危险报警信号。

输入端口:

Open:洗衣机盖开/合信号,按键;

输出端口:

danger:洗衣机盖状态指示量,值为1表示打开,0表示关闭;

洗衣过程控制模块wash:控制洗衣过程的转换。

输入端口:

CP:1Hz时钟信号,来自时钟信号发生器;

Start:开始工作命令信号,按键;

m:工作模式,来自模块mode;

v:进水时间,来自模块Water_t;

water_out:供水情况,来自模块waterless;

danger:危险状态,来自模块Unsafe;

tp:暂停/继续的命令信号;

输出端口:

p:运行/暂停工作状态量,1表示正在运行,0表示暂停;

finish:工作结束状态量,由0变为1表示工作结束;

timer[7:0]:当前工作过程剩余时间,向上传给main中的Timer;

timer_a[7:0]:完成全部工作剩余时间,传给main中的Timer_a;

s[2:0]:工作状态指示量,从0到5分别指示进水、洗衣、出水、

甩干和完成信号有效;

c[2:0]:取1到4,表示当前工作是第几轮;

报警模块alart:产生报警蜂鸣信号。

输入端口:

CP_1k:1kHz方波信号,用于产生蜂鸣信号,来自信号发生器;

CP:1Hz方波信号,用于产生间断的蜂鸣信号,来自信号发生器;

Start,Set,Pause,Speed:按键音有效信号。另外Pause还用于突

发情况时人为控制暂停/继续的控制键;

No_water,water_out,Open,danger:控制报警音开始/结束的信号,来

自模块waterless和Unsafe;

finish:完成工作后提示音有效信号,来自模块wash;

输入端口:

a:报警音驱动信号

tp:暂停/继续信号。tp=1时暂停,tp=0时继续。

LED灯状态模块LEDs:控制LED的亮灭。

输入端口:

s,c:洗衣机的工作状态指示量,用作那个灯亮的判断条件,来自模块

wash;

p:运行/暂停状态标志量,用于暂停时的闪亮效果,来自模块alart;

CP:1Hz方波信号, 造成闪亮效果,来自信号发生器。

输出端口:LED指示灯驱动信号。

LED_wi:进水;LED_wo:出水;LED_w:洗衣;LED_d:甩干;LED_s:正在运行;LED_l:电机顺时针转;LED_r:逆时针转。

用Leonardo综合出的模块级连线图如下:

以上子模块端口具体用途在电工作原理中涉及。

四.电路工作原理。

下面按电路的使用过程对电路工作原理进行描述。

1.按下Set键,在Set上升沿作用下,mode模块被调用,执行的操作

是工作模式m增加1。其状态变化如下:

m=1 m=2 m=3 m=4 m=5 设定好工作模式后,按Speed键设定进水时间,water_t模块被调

用,执行的操作是进水时间v增加1。其变化原理与m类似,只是变化范围是1-3分钟。

2.设定完毕,按Start键,洗衣过程开始,这时是wash模块被调用,wash模块中,由状态变量c记录洗衣的轮数(以进水到甩干为一轮),状态变量s代表当前的工作方式,即s=0为进水,s=1为洗衣,s=2为排水,s=3为甩干,s=4时进行完成提示音信号的延时,其状态转变流程如下:

其中,ok=1是上一任务完成的标志,模式1,2,3中,c初值为4。轮回3次后c=1,s由3变为4,finish置1有效,完成提示音响(由module alart 实现),同时延时计时器启动,计时完毕后,finish=0,提示音停。s=4状态的工作完成后,ok=0,s保持,直到下次启动(Start)再初始化。

以s的值为主要状态标志的基本工作流程图:

3.报警功能,主要由alart模块实现。按No_water键,供水中断信号water_out=1有效。如果这时正在进水,则置c_e=1使报警音延时计时器开始计时,同时c_t=1使报警信号有效,开始发出报警音。延时器计时完毕,c_e、c_t复位,报警音关闭,报警结束。但进水工作仍处于中断状态。只有再此按下No_water键,表示供水恢复,则继续进水。

甩干过程中由Open键触发的洗衣机盖被打开的报警原理同上。

工作结束后的提示音由输入的finish信号控制。finish会有3个CP 有效,和CP相与后,产生三声提示音。

暂停功能也有alart模块参与,按Pause键后,tp变化,会导致暂停有效信号取反,实现暂停/继续的功能。

4.LED等指示状态

共用到7个LED来代表系统的工作状态。其驱动信号有效与否由系统目前的工作状态来判断。其具体代表的状态和实现方法已在端口介绍和源程序注释中说明,不另赘述。

五.Verilog源程序及注释。

1.主模块main:

module main(CP_1k,CP,Start,Pause,Set,Speed,Open,No_water,Timer,Timer_a,m,v,

LED_wi,LED_wo,LED_w,LED_d,LED_s,LED_l,LED_r,a);

input CP_1k,CP,Start,Pause,Set,Speed,Open,No_water; //输入端口声明,其中CP_1k、CP 为时钟信号,其他为按键;

/**********************输出端口声明*************************/

output LED_wi,LED_wo,LED_w,LED_d,LED_s,LED_l,LED_r,a; //LED及蜂鸣信号output[3:0]m; //工作模式

output[3:0]v; //进水时间

output[7:0]Timer,Timer_a; //剩余时间

wire[3:0] m;

wire[2:0] s,c;

wire water_out,danger,finish,p,a,tp;

mode U1(Set,m[3:0]); //调用模式选择模块Water_t U2(Speed,v[3:0]); //调用进水时间设定模块wash U3(CP,Start,m[3:0],v[3:0],water_out,danger,tp,p,finish,Timer[7:0],

Timer_a[7:0],s[2:0],c[2:0]); //调用洗衣过程控制模块waterless U4(No_water,water_out); //调用供水情况感应模块Unsafe U5(Open,danger); //调用洗衣机盖状态模块alart U6(CP_1k,CP,Start,Set,Pause,Speed,No_water,water_out,Open,danger,

finish,s[2:0],a,tp); //调用报警信号产生模块LEDs U7(s[2:0],p,c[2:0],CP,LED_wi,LED_wo,LED_w,LED_d,LED_s,LED_l,LED_r);

//调用LED驱动模块Endmodule

2.模式选择模块mode:

module mode(Set,m);

input Set; //设定键Set为输入

output[3:0] m; //模式选择结果m为输出

reg[3:0]m;

/***********Set上升沿触发下,m由1到5循环变化*********/

always@(posedge Set)begin

if(m[3:0]>=4'b0101||m==0)m[3:0]=4'b0001;

else m[3:0]=m[3:0]+4'b0001;

end

endmodule

3.进水时间设定模块water_t:

module Water_t(Speed,v);

input Speed;//设定键Speed为输入

output[3:0]v; //进水时间v为输出

reg[3:0] v;

/***********Speed上升沿触发下,v由1到3循环变化*********/

always@(posedge Speed)begin

if(v[3:0]>=4'b0011||v==0)v[3:0]=4'b0001;

else v=v+4'b0001;

end

endmodule

4.洗衣过程控制模块wash:

module wash(CP,Start,m,v,water_out,danger,tp,p,finish,timer,timer_a,s,c);

input CP,Start,tp,water_out,danger; //输入时钟信号及控制键

input [3:0]m,v; //输入设定的工作模式和进水时间output[7:0] timer,timer_a; //输出剩余时间

output[2:0] s,c; //输出工作进程标志量

output finish,p; //输出完成信号及暂停有效信号

reg[2:0] s,c;

reg[7:0]timer,timer_a;

reg ok,d;

reg finish,p;

always@(posedge CP or posedge Start or posedge tp)begin

/*********按下Start键,根据所选模式给各变量赋初值*********/

if(Start==1)begin

case(m)

4'b0001:begin s[2:0]=3'b000;timer=v;c=3'b100;

timer_a=v+v+v+8'b01100111;

if(timer_a[3:0]>4'b1001)begin timer_a[7:0]=timer_a[7:0]+8'b00000110;end

end

4'b0010:begin s[2:0]=3'b000;timer=v;c=3'b100;

timer_a=v+v+v+8'b01010111;

if(timer_a[3:0]>4'b1001)begin timer_a[7:0]=timer_a[7:0]+8'b00000110;end

end

简易洗衣机控制课程设计

洗衣机控制器设计 一.设计要求 启动—→正转20s —→暂行10s—→反转20s—— (定时未到) —→暂行10 s———(定时到)——→停止 如果定时时间到,则停机并发出音响信号。 (1).采用中小规模集成芯片设计制作一个电子定时器,按照一定的洗涤程序控制电机作正向和反向转动。 (2).电机用2个继电器控制,洗涤定时时间在0—20min内由用户任意设定。(3).用两位数码管显示洗涤的预置时间,按倒计时方式对洗涤过程作计时显示,直至时间到而停机。 (4). 如果定时时间到,则停机并发出音响信号。 (5). 洗涤过程在送入预置时间后即开始运转。 二.方案选择及电路的工作原理 1.方案选择 从课程设计要求来看,要求实现电机的正传、反转、暂停,实际上没有电机给我们接上,这回要用四个LED灯的状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的

分钟计数器、秒计数器是一定要有的。接下来脉冲是一定的了,但是有分钟计数器和秒钟计数器还要考虑是不是要60分频器,就我们所学过的来说实现循环有移位寄存器;还有个问题,当洗涤时间到了,报警还要一个报警电路,根据人性化、自动化、低成本的设计原则,报警的蜂鸣器不可以长时间的叫,要有个合理的时间,我们可以用一个单稳态电路来实现。看起来还不错啊,如果这样想那就嫌早了点,还有一个问题要解决:如何提取时间并使循环电路工作的信号?方案有两种:一是直接从数值上进行提取信号来控制一个可以实现循环的74LS194 来实现;另一种是制作一个二十进制到十进制的循环转化来把这一分钟走完,但是从电路的复杂程度和经济性来说,显然后者太过于复杂,也不利于接线和排故障,虽然难度会大一些、出成果的时间会比别人晚,但是要设计一个真正可以让用户用放心使用的产品,还得这样做。尤其是最后的循环电路用两个194一定可以很容易实现。 2.工作原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。 三.设计的具体实现 设计思路 (1)本定时器实际上包含两级定时的概念,一是总洗涤过程的定时,二是在总洗涤过程中又包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循

新版洗衣机模糊控制matlab仿真.pdf

洗衣机模糊控制仿真 1.模糊控制背景 美国教授查徳(L.A.Zandeh)在1965年首先提出模糊集合的概念,由此打开了模糊数学及其应用的大门。 1974年英国教授马丹尼( E.H.Mamdani)首先将模糊集合理论应用于加热器的控制,创造了模糊控制的基本框架。 1980年,Sugeno开创了日本的首次模糊应用——控制一家富士电子水 净化厂。1983年他又开始研究模糊机器人。 随着模糊控制技术的不断发展,模糊控制逐渐被应用到日用家电产品的控制,例如电饭锅﹑照相机﹑吸尘器﹑洗衣机等。 2.仿真目的 本次仿真的主要目的是设计一个比较合理的洗衣机模糊控制器,它能够根据被洗涤衣物的污泥多少和油脂多少,综合得到洗涤时间,从而达到最佳的洗涤效果。 3.仿真方法 本次仿真借助matlab中集成的模糊控制工具箱,使用图形界面进行模 糊控制器的设计。最后随意给定几组输入,得到输出并作出简单分析。 4.模糊控制器的设计 4.1模糊控制器理论设计方法 ①选择合适的模糊控制器类型; ②确定输入输出变量的实际论域; ③确定e,e,u的模糊集个数及各模糊集的隶属度函数; ④输出隶属度函数选为单点,可使解模糊简单; ⑤设计模糊控制规则集; ⑥选择模糊推理方法; ⑦解模糊方法。

4.2实际设计过程 ①模糊控制器类型:选用两输入单输出模糊控制器,控制器输入为衣物的污泥和油脂,输出为洗涤时间。 ②确定输入输出变量的实际论域:输入为Mud(污泥)和Grease (油脂),设置Range=[0 100](输入变化范围为[0,100]);输出为Time(洗涤时间),Range=[0 60](输出变化范围为[0,60])。对应matlab 中模糊控制模块: ③确定模糊集个数及各模糊集的隶属度函数:将污泥分为3个模糊集:SD (污泥少)MD (污泥中)LD(污泥多);將油脂分为三个模糊集:NG (油脂少)MG (油脂中)LG (油脂多);将洗涤时间非为5个模糊集:VS (很短)S (短)M (中等)L (长)VL (很长)。 输入﹑输出隶属度函数都定为三角形隶属函数。结合④输出隶属度函数选为单点,可使解模糊简单;定义污泥隶属函数如下 50 ) 50() (x x SD 0≤x ≤50 50 x 0≤x ≤50 Mad ) (x MD 50 ) 100(x 50<x ≤100 50 ) 50() (x x LD 50<x ≤100 对应matlab 中隶属度函数仿真图如下:

EDA-洗衣机控制器设计

沈阳理工大学 2010年12 月21日

主要内容: 设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20 秒→暂停10秒→……”,定时到则停止,同时发出提示音。 基本要求: 1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止; 2、若定时到,则停机发出音响信号; 3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始; 4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。 一、总体设计思想 1、基本原理 洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。

2、设计框图 二、设计步骤和调试过程 1、总体设计电路 洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。 具体电路如下图所示:

数电课设 简易洗衣机控制电路

课程设计任务书 学生姓名: 专业班级: 信息sy1101 指导教师: 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:zzzzzzz 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作: 2)当定时时间达到终点时,一方面使电机停机,同时用指示灯提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。 5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、 2013 年 6 月 25 日至 2013 年 6 月 28 日,方案选择和电路设计。 3、 2013 年 6 月 29 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。 4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 停机

目录 摘要 (1) Abstract (2) 1.概述 (3) 1.1设计任务和要求 (3) 1.2设计的总体思路 (3) 2.总体方案及原理框图 (4) 2.1方案提出 (4) 2.2方案分析 (5) 3.单元电路设计与参数的计算 (6) 3.1 秒脉冲发生器 (6) 3.2 分秒计时器 (7) 3.3 数码显示器 (9) 3.4电机控制电路 (10) 3.5 报警电路 (13) 4、总体电路 (17) 5. 心得体会 (19) 附录一参考文献 (20) 附录二元件清单 (21) 附录三实物图 (22)

数电课程设计——简易洗衣机控制器设计

简易洗衣机控制器设计

摘要 从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定发热了,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录 第一章设计任务与要求 (4) 第二章基本原理 (5) 第三章设计方案 (6) 第四章单元电路的设计和元件的选择 (8) 4.1秒脉冲发生器 (8) 4.2分秒计数器 (10) 4.3时间译码及控制门 (12) 4.4总电路图 (13) 第五章仿真结果 (14) 5.1秒脉冲发生器 (14) 5.2分秒计数器 (14) 5.2.1正转 (14) 第六章真结果分析 (16) 第七章体会小结 (17) 第八章元器件清单 (18) 第九章参考文献 (19)

简易洗衣机控制器设计 第一章设计任务与要求 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作 图1 洗涤模式 (1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

全自动洗衣机模糊控制器设计说明

全自动洗衣机模糊控制器设计 1 简介 洗衣机自问世以来,经过一个多世纪的发展,现正呈现出全自动、多功能、大容量、高智能、省时节能的发展趋势。近年来,电子技术、控制技术、信息技术的不断完善、成熟,为上述发展趋势提供了坚强的技术保障。美国教授查徳(L.A.Zandeh)在1965年首先提出模糊集合的概念,由此打开了模糊数学及其应用的大门。1974年英国教授马丹尼(E.H.Mamdani)首先将模糊集合理论应用于加热器的控制,创造了模糊控制的基本框架。1980年,Sugeno开创了日本的首次模糊控制应用于一家富士电子水净化厂。1983年他又开始研究模糊机器人。随着模糊控制技术的不断发展,模糊控制逐渐被应用到日用家电产品的控制,例如电饭锅﹑照相机﹑吸尘器﹑洗衣机等。模糊控制全自动滚筒洗衣干衣机是通过模糊推理找出最佳洗涤烘干方案,以优化洗涤烘干时间、洗净程度、烘干效果,最终达到提高效率,简化操作,节水节电省时的效果。 2 模糊洗衣机的基本原理 洗衣机的自动控制系统为一多输入多输出系统,输入量为衣质、衣量、脏污程度(即水的浑浊度)、脏污性质(浑浊度变化率);输出量为洗涤剂量、水位、水流、脱水时间、洗涤时间、漂洗方式等。从洗衣机的运行过程可以看出,洗涤剂量、水位、水流、脱水时间都可以通过输入量推理求得,而洗涤时间与漂洗方式为实时控制量,影响其主要因素是被洗物品的脏污程度,这两个量可以用水的浑浊度和浑浊度变化率来表示,油性脏污的浑浊度变化率小,泥性脏污的浑浊度变化率大。实际分析证明:输入与输出之间很难用一定的数学模型来描述,系统的具体条件具有较大的不确定性,其控制过程在很大程度上依赖于操作者的经验,用常规的控制方法难以达到理想的效果。而采用模糊控制技术就能很容易解决问题。因而采用了模糊控制器设计全自动洗衣机。在洗涤衣物的过程中,衣物的多少、面料的软硬、衣物的脏污程度等都是模糊量,所以必须经过大量的实验,总结出人为的洗涤方式,从而形成模糊控制规则。再根据检测系统检测到的信息,判断出衣物多少、面料软硬、脏污程度、脏污性质等,计算出控制量,从而完成注水量、洗涤时间、水流强弱、洗涤方式、脱水时间、排水等一列的设置。根据上述分析和模糊控制技术的基本原理,可以确定洗衣机的模糊控制框如图。

简易洗衣机控制器的设计与制作教学文案

题目:简易洗衣机控制器的设计与制作 专业班级:班 学生姓名:学号: 指导老师:审批: 任务书下达日期2007年1月8日星期一 设计完成日期2007年1月19日星期五 设计内容与设计要求 一、设计内容 设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下:1. 定时到 洗衣机电机的工作顺序:

启动——>正转20s——>暂行10s——>反转20s——>暂行10s——>停止 ^ I______________________________________I 定时未到 2.用4个LED模拟洗衣机的动作状态:LED1~LED4右移循环点亮表示正转,LED1~LED4左移循环点亮表示反转,LED1~LED4同时闪烁点亮表示暂停,全灭为停止。 3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发出音响信号报警。 4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。 5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。 二.设计要求: 1.设计思路清晰,给出整体设计框图; 2.设计各单元电路,给出具体设计思路、电路器件; 3.总电路设计; 4.安装调试电路; 5.写出设计报告;

主要设计条件 1. 提供直流稳压电源、信号源、示波器等仪器; 2. 提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。 3. 提供电子综合实验装置。 说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图;

5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。 注意:课程设计报告说明书要求用16开纸打印! 进度安排 第一周: 星期一上午安排任务、讲课。 星期一~星期二:查资料、设计 星期三上午:领元件〈四楼电子综合实验室〉 星期三~星期五单元电路设计和仿真、调试电路; 第二周: 星期一~星期四安装调试电路;〈四楼电子综合实验室〉星期五写总结报告,答辩;

简易洗衣机控制电路完美版

武汉理工大学《数字电子技术基础》课程设计说明书 1 Proteus软件简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。 1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发- 1 - 武汉理工大学《数字电子技术基础》课程设计说明书 生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、

基于MATLAB的洗衣机模糊控制设计

基于MATLAB的洗衣机模糊控制设计

基于MATLAB的洗衣机模糊控制设计 摘要:模糊洗衣机是一种智能型的洗衣机,它和传统的洗衣机相比,是一种全新的家用电器。传统的全自动化洗衣机有两种,一种是机械控制式,一种是单片机控制式。无论采用什么方式,它们都需要进行人为的洗涤程序选择,衣质和衣量选择,然后才能投入工作。在本质上讲,这种洗衣机还称不上是全自动的,最多只能称为半自动的。 用单片机控制的模糊洗衣机和传统的洗衣机有很大的区别,它能自动化识别衣质、衣量、自动识别肮脏程度、自动化决定水量、自动投入恰当的洗涤剂,从而全部自动地完成整个洗染过程。由于洗涤程序是经过模糊推理而决定的,有着极高的洗涤效能,从而不但大大提高洗衣机的全自动化程度,也大大提高了洗衣的质量。 用单片机控制的模糊洗衣机能够说是真正的全自动洗衣机。在整个控制过程中,单片机和模糊控制软件起了决定作用。 关键词:洗衣机、智能型、模糊控制、自动化

1 课题背景及意义 洗衣机自问世以来,经过一个多世纪的发展,现正呈现出全自动、多功能、大容量、高智能、省时节能的发展趋势。近年来,电子技术、控制技术、信息技术的不断完善、成熟,为上述发展趋势提供了坚强的技术保障。L·A·Zadeh教授最早提出了模糊集合理论,由此产生了模糊控制技术,其突出的优点是:不需要对被控对象建立精确的数学模型。对于复杂的、非线性的、大滞后的、时变的系统来说,建立数学模型是非常困难的。全自动滚筒洗衣干衣机的自动化、智能化控制正是一种难以建立精确数学模型的控制问题,采用模糊控制技术,能够很方便的控制洗衣干衣过程。模糊控制全自动滚筒洗衣干衣机是经过模糊推理找出最佳洗涤烘干方案,以优化洗涤烘干时间、洗净程度、烘干效果,最终达到提高效率,简化操作,节水节电省时的效果。模糊控制全自动滚筒洗衣干衣机属于创新项目,填补国内空白,达到国际先进水平。它的研制成功,必将大大推动中国乃至世界洗衣

全自动洗衣机控制系统设计

分数: 华南理工大学广州学院 课程设计任务书 题目:全自动洗衣机的PLC控制系统设计 课程:PLC技能培训课程设计 专业:自动化 班级: 姓名: 学号:

第一部分任务书

《PLC技能培训》课程设计任务书 一、课程设计目的 编程序控制器(PLC)于20世纪60年代在美国诞生,在我国的发展与应用已有30多年的历史,现在它已经广泛应用于国民经济的各个工业生产领域,成为提高工业装备电气自动化水平的重要设备和强大支柱。因此,PLC技术已成为电气自动化专业技能型人才必不可少的重要技能,《PLC技能培训》课程是《电气设备与PLC》课程实践教学环节,通过实践,可以帮助学生加深对理论知识消化吸收,提高PLC编程技能。 该课程设计的主要目的是通过运用三菱FX3U 系列PLC设计一控制系统,掌握PLC控制系统设计原则、方法、过程和具体设计步骤。通过设计还有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备及控制系统的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。 课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 二、PLC应用系统设计的内容和步骤 1.设计原则 (1)系统应最大限度地满足被控设备或生产过程的控制要求。 (2)在满足控制要求的前提下,应力求使系统简单、经济,操作方便。 (3)保证控制系统工作安全可靠。 (4)考虑到生产发展和生产工艺改进,在确定PLC容量时,应适当留有裕量,使系统有扩展余地。 2.设计内容 )拟定控制系统设计的技术条件。1(. (2)确定电气传动控制方案和电动机、电磁阀等执行机构。 (3)选择PLC的型号。 (4)编制PLC输入、输出端子分配表。 (5)绘制输入、输出端子接线图。 (6)根据系统控制要求,用相应的编程语言(常用梯形图)设计程序。 (7)设计操作台、电气柜及非标准电气元件。 (8)编写设计说明书和使用操作说明书。 3.设计主要步骤 (1)分析被控对象的控制要求,确定控制任务. (2)选择和确定用户I/O设备. (3)选择PLC的型号.

智能洗衣机控制器设计verilog编程

智能洗衣机控制器设计V e r i l o g编程 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

智能洗衣机控制器设计报告 鑫鑫出品 2010-3-18

目录 一.设计背景 (2) 二.功能简介 基本功能 (2) 扩展功能 (3) 三.模块设计及端口设置 主模块main (3) 工作模式设定模块mode (4) 进水量设定模块Water_t (4) 无供水信号产生模块waterless (5) 危险信号产生模块Unsafe (5) 洗衣过程控制模块wash (6) 报警模块alart (7) LED灯状态模块LEDs (7) 模块级连线图 (8) 四.电路工作原理 (9) 以s的值为主要状态标志的基本工作流程图 (10) 五.Verilog源程序及注释 (11) 六.小结 (18)

一.设计背景。 如今,带有微型控制芯片的家用电器迅速普及。通过用户简单的设定,这些智能家电能自动完成家务,并向用户及时提供反馈信息。这极大地方便了人们的生活。 智能洗衣机是智能家电的代表。用户只需按几个键,智能洗衣机就能自动完成注水、洗衣、排水、甩干等一系列工作,并在发生事故时给予用户报警并作出正确反应,极大减轻用户的洗衣负担,同时保障用户安全。基于以上功能要求,本人进行了智能洗衣机控制器的设计,并作出此设计报告。 二.功能简介。 基本功能: a.可选5种洗衣模式,列举如下 1>强力洗衣模式:工作程序为,注水->洗衣->排水->甩干 ->注水->漂洗->排水->甩干 ->注水->漂洗->排水->甩干。 其中洗衣为30分钟; 2>普通洗衣模式:工作程序为,注水->洗衣->排水->甩干 ->注水->漂洗->排水->甩干 ->注水->漂洗->排水->甩干。 其中洗衣为20分钟; 3>强力洗衣模式:工作程序为,注水->洗衣->排水->甩干 ->注水->漂洗->排水->甩干 ->注水->漂洗->排水->甩干。 其中洗衣为10分钟; 4>漂洗模式:工作程序为,注水->漂洗->排水->甩干 ->注水->漂洗->排水->甩干。 5>甩干模式:工作程序为,甩干。 以上五种模式中,进水时间为1-3分钟,漂洗为5分钟, 排水2分钟,甩干3分钟。 b.显示洗衣完成所需时间。

EDA-洗衣机控制器设计

佛山职业技术学院 2013年07月1日

洗衣机控制器的设计 一、实训课题: 洗衣机控制器的设计 二、设计的内容及要求: 1.设计一个洗衣机控制器,要求为: 1)洗衣机控制器可以驱动洗衣机进行洗涤、漂洗或烘干; 2)洗衣机控制器可以设置洗衣机的工作时间,工作时间最短1分钟,最长1小时, 在工作过程中,工作时间以倒计时显示,若时间为0洗衣机停止工作; 3)洗衣机在待机状态时,洗衣机控制器可以设置洗衣机的工作方式和工作时间; 4)可以暂停或停止洗衣机工作; 5)利用三个数码管显示洗衣机待机时的设置时间和工作时的运行时间,利用一位 数码管显示洗衣机待机时所设置的工作方式运行时的工作方式; 6)利用三个LED分别表示驱动洗衣机进行洗涤、漂洗或烘干。 7)洗涤时,电机中速正转;漂洗时,电机慢速反转;烘干时,电机快速正转。 2.洗衣机控制器可以划分为状态机模块、计时器模块、设置模块和显示选择模块。在QuartusII中输入各个模块的代码,编译综合,仿真,完成各个模块的软件设计; 3.把各个模块组合起来,综合编译,仿真,完成整个控制器系统的软件设计; 4.选择电路方案锁定管脚,把程序下载到实验箱中,利用实验箱进行硬件实现; 5.以EPM240为核心,设计洗衣机控制器硬件电路原理图和PCB版图。 6.完成实训报告。实训报告包括: 1)设计的任务和要求; 2)模块的划分和系统总框图; 3)各个模块的实现,包括模块的作用,模块的输入与输出情况,模块状态图,模 块的代码以及注释,模块的波形图; 4)系统的实现,包括系统总原理图,系统的波形图; 5)管脚的锁定关系; 6)电路原理图和PCB版图; 7)实训总结。 三.设计思路: 1)状态切换→有限状态机 2)按定时时间及时→定时计数器 3)显示时间→数码管译码驱动器 4)接收设置时间→时间设置键盘扫描器 5)接收设置模式→模式设置键盘扫描器 6)切换显示运行时间和设置时间→二路选择器

基于VHDL语言的简易洗衣机控制器

电子课程设计 ——简易洗衣机控制器设计 学院: 班级: 姓名: 学号: 指导老师: 2013年12月

目录 第一部分:设计任务与要求 (1) 第二部分:总体框图 (1) 第三部分:选择器件 (2) 第四部分:功能模块 (3) 4.1时间预置编码寄存模块(settime) (3) 4.2减法计数器模块(counter) (4) 4.3数码管显示模块(showtime) (7) 4.4时序电路模块(analyse) (9) 4.5译码器模块(move)……………………………………… 1 1 第五部分:总体设计电路图 (13) 5.1总体(顶层)设计电路图 (13) 5.2顶层文件仿真 (13) 5.3管脚分配图 (14) 5.4硬件实验效果图 (14) 第六部分:课程设计心得体会 (15)

简易洗衣机控制器设计 一、设计任务与要求 设计一个洗衣机洗涤程序控制器,控制洗衣机的电动机按下图所示的规律运 转: 时间到 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED 表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。 二、总体框图 RUN REV PAUSE time_over clk K5 start K6 load K1 K2 K3 K4 各个部分的具体功能描述如下: (一)预设时间和编码电路(settime ):接受用户通过按钮预置的时间信息,编码 译码驱动模块(move) clk out_1 out_2 start 时序电路模块(analyse) clk time_over start 十进制减法计数器模块(counter) 洗涤预置时间编码寄存电路模块(settime) 报警信号 时间显示模块(showtime ) 定时启动 停止 正转 暂停 反转 暂停

洗衣机模糊控制建模

智能控制课程作业 模糊控制理论实验报告 题目洗衣机系统模糊控制建模与仿真班级 姓名 学号 2014年3月13日

一.实验目的 通过设计洗衣机洗涤时间的模糊控制系统,理解模糊控制的基本原理。掌握模糊控制系统MATLAB建模与仿真的方法。 二.实验原理 洗衣机洗涤时间的模糊控制是一个开环模糊决策过程,其基本原理框图如图1-1所示。它的核心部分是模糊控制器,模糊控制器的控制律由计算机程序来实现。 图1-1 系统原理框图 系统选用两输入单输出的模糊控制器。控制器的输入为衣物的污泥量x和油脂量y,输出为洗涤时间z。 将污泥分为3个模糊集:SD(污泥少),MD(污泥中),LD(污泥多);将油脂分为3个模糊集:NG(油脂少),MG(油脂中),LG(油脂多);将洗涤时间分为5个模糊集:VS(很短),S(短),M(中等),L(长),VL很长。 首先,定义输入x,y变量,输出z变量的隶属函数。根据“污泥越多,油脂越多,洗涤时间越长”;“污泥适中,油脂适中,洗涤时间适中”;“污泥越少,油脂越少,洗涤时间越短”的规律建立洗衣机模糊规则表。然后,根据模糊规则进行模糊推理并得到洗涤时间的模糊集合。最终,利用重心法对模糊系统反模糊化,将洗涤时间的推理结果转化成精确值z输出。 三.实验内容 利用MATLAB软件实现上述洗衣机系统模糊控制的建模与仿真。 1.建立x,y,z的隶属函数 洗衣机系统变量x,y,z的隶属函数分段表达式,如式1-1所示。

()()()()()()()()()()() ()()()()()SD MD LD NG MG LG VS 50/50050/50 050100/505010050/505010050/50050/5005011100/505010050/505010010/10010S x x x x x x x x x x x y y y y y y y y y y y z z z z μμμμμμμμμμμ=-≤≤?? ≤≤???==?? -<≤??? ?=-<≤?=-≤≤?? ≤≤??? ==-?? -<≤??? ?=-<≤?=-≤≤=污泥 油脂 洗涤时间()()()()()()()()()VL /1001025/15102510/15102540/15254025/15254060/20 406040/204060 M L z z z z z z z z z z z z z z z z z μμμ??≤≤???=??-<≤??? ?-≤≤??? =?? -<≤??? ? -≤≤? ??=??-<≤??? ?=-≤≤? 在MATLAB 中,定义本系统为一个Mamdani (普通)型模糊控制系统,命名为a 。根据式1-1,分段建立系统a 的x,y,z 变量隶属函数,如图1-2所示。

课程设计 简易洗衣机控制器

课程设计简易洗衣机控制器 现代社会人们的生活水平越来越高,洗衣机作为一种方便人们洗涤的设备进入了千家万户。洗衣机控制器的设计也变的越来越重要。随着大规模集成电路技术的发展,人们的日常生活越来越数字化和集成化,洗衣机控制器的发展也在不断的前进。 从课程设计要求来看,本次设计用到了我们熟悉的555定时器构成的多谐振荡器来产生脉冲,74LS192芯片,数码管等电路器件,理所当然的分钟计数器、秒计数器是一定要有的。通过一些基本的电路元件(电阻、电容)和门电路(非门、或门、与门、与非门、异或门)将各个电路器件连接起来,除了这些,还要求在定时结束后有一个指示灯来提示定时时间到,还要求电路有清零和指数的功能,这些用逻辑电平开关即可以实现。 1、设计任务和指标 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作,具体指标如下: 图1、洗涤模式 (1)当定时时间达到终点时,一方面使用电机停机,同时发出音响信号(可换成指示灯)提醒用户。 (2)用两位数码管显示洗涤预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。 2、设计原理和参考电路 (1)设计方案 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作,当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。该方案的原理框图如图2所示。

基于matlab的洗衣机模糊控制器的设计及仿真

基于matlab 的洗衣机模糊控制器的设计及仿真 以洗衣机洗涤时间的模糊控制系统设计为例,其控制原理是根据衣物上污泥和油脂的程度,调节洗涤时间,该控制是一个开环的模糊决策过程,模糊控制按以下步骤进行: 1. 确定模糊控制器的结构 选用两输入单输出的模糊控制器。控制器的输入为衣物的污泥和油脂,输出 为洗涤时间。 2. 定义输入、输出的模糊集 将污泥分为3个模糊集:SD (污泥少),MD (污泥中),LD (污泥多),取 值为[0,100];将油脂分为3个模糊集:NG (油脂少),MG (油脂中),LG (油脂多),取值为[0,100];将洗涤时间分为5个模糊集:VS (很短),S (短),M (中等),L (长),VL (很长),取值为[0,60]。 3. 定义输入、输出隶属函数 选用如下三角形隶属函数可实现污泥的模糊化。 采用Matlab 进行仿真,污泥隶属函数仿真结果如图1所示。 选用如下三角形隶属函数实现油脂的模糊化,如图2所示。 ?? ?? ? ??≤<-=?? ?≤<-≤≤=≤≤-==100 5050/)50()(1005050/)100(50050/)(50050/)50()(x x x x x x x x x x x LD MD SD μμμμ污泥 ?? ?? ? ??≤≤-=?? ?≤<-≤≤=≤≤-==100 5050/)50()(1005050/)100(50050/)(50050/)50()(y y y y y y y y y y y LG MG NG μμμμ油脂

图1污泥隶属函数 图2油脂隶属函数 选用如下三角形隶属函数实现洗涤时间的模糊化,如图3所示。

智能洗衣机系统的设计与实现

目录 1. 智能洗衣机的设计方案 (1) 2. 单元模块设计 (1) 2.1 主控制器简介 (1) 2.1.1 STC89C52单片机特点 (1) 2.1.2 STC89C52最小系统电路设计 (2) 2.2洗衣机电机驱动电路设计 (4) 2.3 显示电路设计 (4) 2.3.1 数码管简介 (4) 2.3.2 数码管显示电路设计 (6) 2.4 水位检测模块设计 (7) 2.5 按键控制模块设计 (7) 2.6蜂鸣器模块设计 (9) 3.系统软件设计 (10) 3.1 系统软件结构 (10) 3.2 主程序流程图 (10) 3.3 控制程序流程图 (11) 4.系统调试 (12) 4.1 测试环境及工具 (12) 4.2 硬件调试 (12) 4.3 软件调试 (12) 5.设计总结 (14)

6.参考文献 (15) 附录1:作品实物图 (16) 附录2:作品原理图 (17) 附录3:作品PCB图 (18) 附录4:作品仿真图 (19) 附录5:作品程序 (20)

1. 智能洗衣机的设计方案 智能洗衣机系统主要由水位采集模块、驱动模块、显示模块、控制模块四部分组成。控制模块由按键控制组成,用于实时时间的设置,洗衣机根据控制模块的输入值选择不同的工作状态。 显示模块用于人机信息交换,显示当前工作时间和工作状态。水位采集模块用于采集当前洗衣机水的深度。智能洗衣机系统的设计方案图如图1所示。 图1 智能洗衣机系统的设计方案图 2. 单元模块设计 2.1 主控制器简介 本设计主控制器采用软件编程自由度大,外围模块丰富,硬件电路简单的可编程控制芯片STC89C52。STC89C52一类具有8位总线的单片机,由于其性价比和集成度高,受到广大技术开发人员的青睐。 2.1.1 STC89C52单片机特点 STC89C52芯片具有如下特点: ★ STC89C52是一种低功耗、高性能CMOS8位微控制器,具有 8K 在系统可编程Flash 存储器。 ★低8k字节Flash,512字节RAM, 32 位I/O 口线,看门狗定时器,内置4KB

课程设计洗衣机控制器

洗衣机控制器的设计 目录 洗衣机控制器的设计 (1) 一.课题名称 (2) 二.摘要 (2) 三.设计任务要求 (2) 1. 设计任务 (2) 2. 设计要求 (2) 四.设计的系统方案和系统框图 (2) 1. 系统方案选择 (2) 2. 系统框图及设计思路 (3) 五.单元电路设计、参数计算及器件选择 (3) 1. 单位脉冲电路的设计 (3) 2. 倒计时电路的设计 (4) 3. 工作电机电路的设计 (5) 4. 智能停止工作电路的设计 (5) 5. 主要元器件的介绍 (5) 六.整体电路图及工作原理 (7) 七.组装调试内容 (8) 1. 使用的主要仪器仪表 (8) 2. 调试电路的方法和技巧 (8) 3. 遇到的故障、原因、排除方法 (8) 八.设计电路的优缺点 (9) 1. 优点 (9) 2. 缺点 (9) 九.系统元器件清单 (9) 十.参考文献 (9) 十一.收货体会 (9)

一.课题名称 洗衣机控制器的设计 二.摘要 家用洗衣机是我们日常生活中常用的家用电器之一,它可以自动设定各种洗涤方式、设定洗涤时间,以及能按照固定的工作模式循环工作。虽然从外部看起来它的结构非常的复杂,但是从内部结构分析,它的完全可以使用我们学过的数字电子技术的知识完成其控制系统。从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定要有的,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后洗衣机可以正常的停止工作,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。 三.设计任务要求 1.设计任务 设计一个洗衣机使其按照下图工作模式进行工作,并可以自定义工作时间。 2.设计要求 (1)洗涤时间在20分钟内由用户自行设定。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)当定时时间达到终点时,一方面使电机停机,同时发出音响信号提醒用户注意。 四.设计的系统方案和系统框图 1.系统方案选择 (1)方案一 用数字电路知识做成电路,首先,从秒脉冲出来的信号,经过一个控制 电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并 按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器 上面借数:与此同时,从十秒位转化出来的信号进入移位寄存器后,电机按 照预定的参数工作;当用户设定的洗涤时间结束后,电路报警并清零;同时电 机停止工作。 (2)方案二 用单片机做成电路,主要内容:设计一个用单片机控制的洗衣机控制器.以单 片机为主控制器,扩展必要的外部电路,没计制作一个洗衣机控制器,并按 照给定的程序进行洗涤操作。 方案比较:方案一:数字电路做思路清晰,对各电路比较了解,也符合本课程设计的要求,更能巩固数字电路的知识。

简易洗衣机控制器

I 简易洗衣机控制器 摘要: 尽管洗衣机有了很大发展,但消费者的需求不断提高。为使洗衣机的操作更方便、更人性化,根据设计的要求主要采用单片机设计简易洗衣机的控制系统,控制电动机正反转的时间,在对洗衣总时间进行设定后,洗衣机能完成洗衣过程。它的CPU 采用51单片机,根据设计需要增加一些按键设定时间和数码管显示时间。在满足输入/输出接口及其他硬件的情况下,再进行软件设计。首先是了解其工作流程,绘制功能流程图。然后,制作硬件编写程序实现。本设计主要实现了对洗衣机的定时设置,及控制洗衣机暂停和开始,能显示计时时间,计时结束能发声报警。 关键词 洗衣机、控制、单片机 Abstract :In spite of the washing machine has made great development, but the demands of consumers. To make washing machine operation more convenient, more human, according to the requirement of the design is mainly design simple washing machine control system by single chip microcomputer, the control motor and reversing time, after set laundry total time for washing machine can finish the laundry process. Its CPU USES 51 single chip microcomputer, according to the design needs to add some buttons to set time and digital tube display time. In meet the under the condition of input/output interface, and other hardware, and software design. First of all is to understand its working process, flow chart drawing function. Then, make the hardware programming implementation. This design mainly realized the timing set of washing machine, washing machine and control pause and start, can show the timing time, end time can voice alarm. Key words :Washing machines, control, simple and easy

相关文档
最新文档