1数字逻辑概论解析

1数字逻辑概论解析
1数字逻辑概论解析

《数字电路》课程教案

教员姓名:专业技术职务:助教

教学进程安排

要形式

(三)真值表:这种把所有可能的条件组合及其对应结果一一列出来的表格叫做真值表。

(四)逻辑符号:实现与逻辑的电路称为与门。与门的逻辑符号:

二、或逻辑(或运算)

(一)或逻辑的定义:当决定事件(Y )发生的各种条件(A ,B ,C ,…)中,只要有一个或多个条件具备,事件(Y )就发生。表达式为:

Y=A+B+C+…

开关A ,B 并联控制灯泡Y

对上图所示电路的功能,作如下描述:

“开关A 断开,开关B 也断开,则电灯Y 熄灭,但是,只要有一个开

Y

A B

&

电路图

L=AB

E

A

B

Y

开关A 开关B 灯Y 0 0 0 1 1 0 1 1

0 0 0 1

- 14 -

- 15 -

- 16 -

课堂教学过程设计方案

- 17 -

- 18 -

课堂教学总结

数字逻辑课程设计课案教学总结

数字逻辑设计课程设计指导书 适用专业:计算机大类 湖北工业大学 计算机学院 2016年11月

目录 一、课程设计目的 (1) 二、课程设计要求 (1) 三、课程设计内容 (1) 四、设计报告的内容和要求 (3) 五、课程设计考核方法 (3) 附录一自选课题参考题目 (4) 一、数码管显示控制器 (4) 二、乒乓球游戏机 (4) 三、智力竞赛抢答器 (4) 四、数字钟 (4) 五、交通灯控制器 (5) 六、双钮电子锁 (5) 七、彩灯控制器 (5) 八、速度表 (5) 九、出租车计价器 (6) 十、自动奏乐器一 (6) 十一、自动奏乐器二 (6) 十二、自动打铃器 (6) 十三、算术运算单元ALU的设计 (7) 十四、游戏机 (7) 十五、16路数显报警器 (7) 十六、脉冲按键电话按键显示器 (7) 十七、病房呼叫系统 (8) 十八、自动电子钟 (8) 十九、具有数字显示的洗衣机时控电路 (8) 二十、篮球比赛数字计分牌 (8) 二十一、电子日历 (9) 二十二、设计模拟中央人民广播电台报时电路 (9) 二十三、数字跑表 (9) 二十四、汽车尾灯控制器 (9) 二十五、篮球竞赛30秒计时器 (9) 二十六、拔河游戏机控制器 (10) 附录二TTL集成电路型号命名规则 (11) 附录三部分TTL集成电路管脚排列图 (14)

一、课程设计目的 《数字逻辑课程设计》是计算机大类学生的必修课之一,是《数字逻辑》课程的一个重要的实践教学环节,它与理论教学和实验教学相结合,培养学生综合运用所学的基础理论和掌握的基本技能来解决实际问题的能力。 课程设计通过完成一个课题的理论设计和实际调试工作,即能加深对所学知识的理解,又能培养综合的实践技能,从而提高分析问题和解决问题的能力。训练学生综合运用学过的数字逻辑的基本知识,独立设计比较复杂的数字电路的能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 二、课程设计要求 (一)教学要求 1.巩固和加深对数字逻辑各类型电路的设计方法及电子器件所构成电路的理解,并适当拓宽学生在电子线路领域的知识面。 2.初步掌握数字电路的设计、计算方法。能根据系统的技术指标,论证、拟订设计方案;选用合适的电路形式并进行工程计算及选择电路的元器件。 3.培养独立组织实验方案、正确选择使用实验仪器的能力,提高对功能电路和系统的安装调整、测试技术,以及综合运用所学理论知识解决实际问题的能力。(二)能力培养要求 1.通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 2.通过实际电路方案的比较分析、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 3.掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 4.综合应用课程中学到的理论知识去独立完成一个设计任务。 5.培养严肃认真的工作作风和严谨的科学态度。 三、课程设计内容 从参考题目中自选1题进行资料查找和设计,具体课题及要求见附件1。 1.数码管显示控制器

《数字逻辑》课程实验教学大纲

《数字逻辑》课程实验教学大纲 一、课程基本信息 1.课程代码:BCim8014 2.课程名称:数字逻辑 3.课程英文名称:Digital Logic 4.课程性质:专业必修课 5.课程适用层次:本科 6.课程使用对象:计算机科学与技术专业 7.总学时:48学时(其中实验12学时) 8.学分:3 9. 先修课程:大学计算机基础、电子电路基础 二、课程概述 《数字逻辑》课程是计算机科学与技术专业基础课程,是计算机组成与结构、微机原理等硬件类课程的先导课程,它对理解计算机的工作原理有十分重要的作用。本课程使学生掌握数字逻辑方面的基本理论、基本知识和基本技能,具有分析数字逻辑电路方面的基本方法以及设计电路的能力,为后续计算机硬件类课程打下基础,也为深入理解计算机的工作原理提供理论及实践基础。 本课程的基本内容: 介绍逻辑设计的理论基础和逻辑电路的分析和设计方法,重点讲述组合逻辑电路和同步时序逻辑电路的分析和设计。 本课程的教学要求: 要求学生掌握数字逻辑的基本概念、基本理论、基本方法,具备一定的对逻辑电路的分析、设计和调试的能力。要求学生能以逻辑代数为工具,熟练掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元进行逻辑分析和设计,并在了解电子设计自动化的基础上,基本掌握数字系统的设计过程。 本课程的先修课是大学计算机基础、电子电路基础。 三、实践教学安排 第三章组合逻辑 实验学时:6 项目1:基本门电路的逻辑功能测试 实验学时:3 实验目的与要求: 1.测试与门、或门、非门、与非门、或非门与异或门的逻辑功能 2.熟悉扩展板与主电路板的连接与使用 3.了解测试的方法与测试的原理 实验主要仪器、设备: 1.数字逻辑电路实验箱 2.数字逻辑电路实验箱扩展板 3.双踪示波器,数字万用表 4.相应74LS系列、或74HC系列芯片

数字电路知识点汇总(精华版)

数字电路知识点汇总(东南大学) 第1章数字逻辑概论 一、进位计数制 1. 十进制与二进制数的转换 2?二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1) 常量与变量的关系A +0 =人与人1 = A A +1 = 1 与 A 0 = 0 A A = 1 与 A A = 0 2 )与普通代数相运算规律 a. 交换律:A + B = B + A A B 二 B A b. 结合律:(A + B) + C = A + (B + C) (A B) C 二A (B C) C.分配律:A (B C) = A B A C

A B C =(A B)()A C)) 3)逻辑函数的特殊规律 a. 同一律:A + A + A b. 摩根定律:A A B , ~AB=~A B b.关于否定的性质人=A 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则例如:A B 二 C ? A B 二C 可令L= B二C 则上式变成A L A L = A二L=A二B二C 三、逻辑函数的:一一公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑 函数,通常,我们将逻辑函数化简为最简的与一或表达式 1) 合并项法: 利用A + A A -1或A ^A B -A,将二项合并为一项,合并时可消去一个变量 例如:L= ABC ABC -AB(C C) = AB 2) 吸收法 利用公式A A ,消去多余的积项,根据代入规则AB可以是任何一个

数字逻辑课程三套作业及答案课案

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器 4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A.n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293

(A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器

B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。 A. J=D,K=D非 B. B. K=D,J=D非 C. C.J=K=D D. D.J=K=D非

嵌入式系统概论讲解

第一章嵌入式系统概论 参考习题 1、嵌入式系统本质上是什么系统? 答:从本质上讲,嵌入式系统中的计算机总是处于一种实时计算模式,也可以认为嵌入式计算机应具有某种实时性。也就是说,从嵌入式系统的广义概念考虑,嵌入式系统都可以看成是实时系统。 2、嵌入式系统开发与PC机软件开发的区别是什么? 答:嵌入式开发就是设计特定功能的计算机系统,形象的说就是开发一种嵌入在一个机器上实现特定功能的一个系统。PC的开发往往是上层应用程序,会更多的和业务流程,数据库,UI打交道。嵌入式的开发主要是和底层打交道,例如内存,NAND, 各种控制器,中断调度等等。当然现在也有很多需要在嵌入式设备上开发上层应用程序的需求了。 3、嵌入式系统基本概念? 答:嵌入式系统是以应用为中心,以计算机技术为基础,软硬件可配置,对功能、可靠性、成本、体积、功耗有严格约束的专用系统。这类系统一般由嵌入式微处理器、外围硬件设备、嵌入式操作系统(可选择)以及应用程序等四个部分组成的。 4、嵌入式系统分类?

答:(1)按微处理器位数划分 按所采用的处理器位数,可以分为4位、8位、16位、32位和64位系统。 (2)按应用类别划分 可以简单地划分为信息家电、通信、汽车电子、航空航天、移动设备、军用电子、工业控制、环境监控等各种类型。 (3)按系统的实时性划分 硬实时系统、软实时系统和自适应实时。 (4)按工业界应用的复杂程度划分 简单单处理器系统 可扩展单处理器系统 复杂嵌入式系统 制造或过程控制中使用的计算机系统 第二章ARM嵌入式微处理器技术基础 参考习题 1、ARM32位指令、16位指令的特点。 答:ARM微处理器支持32位的ARM指令集和16位Thumb指令集,每种指令集各有自己的优点和缺点:ARM指令集效率高,但代码密度低;Thumb指令集具有较高的代码密度,却仍保持ARM的大多数性能上的优势,可看做ARM指令集的子集。

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

1章数字逻辑概论与逻辑代数复习题

数字逻辑概论与逻辑代数 一、选择题: 1、是8421BCD 码的是( ) A. 0101 B. 1010 C. 1100 D. 1111 2、=++++B A A C B A ( ) A. 1 B. A C. A D. A+B+C 3、欲对全班53个学生以二进制代码表示,至少需要二进制码的位数是( ) A. 6 B. 5 C. 10 D. 53 4、在数字电路中,晶体管的工作状态为:( ) A.饱和或截止; B.放大; C.饱和或放大; D. 饱和; 5、以下式子中不正确的是( ) A . B A B A +=+ B . A A A += C . 1A A ?= D . 11A += 6、在数字电路中,稳态时三极管一般工作在( )状态。在图示电路中,若0i u <,则三极管T ( ),此时uo =( ) A .开关,截止,3.7V B .放大,截止,5V C .开关,饱和,0.3V D .开关,截止,5V 7、N 个变量可以构成( )个最小项。 A . 2N B . 2N C 、N D 、 2N -1 8、数字电路中的工作信号为( )。 A . 脉冲信号 B . 随时间连续变化的电信号 C .直流信号 D .模拟信号 9、下列等式不成立的是( ) A. AB+AC+BC=AB+BC B. (A+B)(A+C)=A+BC C. A+AB=A D. 1=+++B A AB B A B A 10、和二进制数(1100110111)2等值的十六进制数是( )。 A. (337)16 B. (637)16 C. (1467)16 D. (C37)16 11、逻辑函数F=A ⊕(A ⊕B)=( ) A.B B.A C.A ⊕B D.A ⊙B 12、下面描述逻辑功能的方法中,具有唯一性的是( ) A. 真值表 B. 逻辑函数表达式 C.波形图 D.逻辑图

数字逻辑复习知识点

数字逻辑课程知识点 第一章数字逻辑概论 1.计算机中常见的几种数制及其转换方法(十进制、二进制、十六进制) 2.有符号数的补码表示方法(要求会求符号数的补码或从补码求实际的有符号数)3.掌握ASCII码概念。知道常用字符(空格、数字0-9和字母A – Z,a- z等)的ASCII 码。 4.掌握8421BCD码的概念,会用BCD码表示十进制数 5.掌握基本逻辑运算(“与”、“或”、“非”、“与非”、“或非”、“异或”以及“同或”等运算)及其逻辑符号。 6.掌握逻辑函数的5种表示方法(真值表表示法、逻辑表达式表示法、逻辑图表示法、波形图表示法、卡诺图表示法) 第二章逻辑代数 1.逻辑代数的基本定律和恒等式(摩根定理) 2.逻辑代数的基本规则(代入规则、反演规则、对偶规则) 3.把“与---或”表达式变换为“与非---与非”和“或非---或非”表达式的方法 4.逻辑函数的代数化简方法: 并项法(A+/A=1) 吸收法(A+AB=A) 消去法(A+/AB=A+B) 配项法(A=A*(B+/B)) 5.卡诺图的特点:每个小方格都惟一对应于一个不同的变量组合(一个最小项),而且,上、下、左、右在几何上相邻的方格内只有一个因子有差别。任何一个函数都等于其卡诺图中为1的方格所对应的最小项之和。 6.掌握用卡诺图化简逻辑函数的方法 7.理解无关项的概念:即实际应用中,在真值表内对应于变量的某些取值,函数的值是可以任意的,或者这些变量的取值根本不会出现,这些变量取值对应的最小项即称为

无关项或任意项,每个无关项的值既可以取0,也可以取1,具体的取值以得到最简的函数表达式为准。 第三章MOS逻辑门电路 1.数字集成电路的分类: 从集成度方面分:小规模(SSI)、中规模(MSI)、大规模(LSI)、超大规模(VLSI) 和甚大规模(ULSI)。 从制造工艺方面分:CMOS、TTL、ECL以及BiCMOS等 2.CMOS的特点:(功耗低、抗干扰能力强、电源范围宽) 3.理解集成电路各种参数的意义: (1)V IL (max ) 、V IH(min)、V OH(min)、V OL(max)、I IH (max) 、I IL (max) 、I OH (max) 、I OL (max) (2)高电平噪声容限期VNH = V OH(min) —V IH(min) (3)低电平噪声容限期VNL = V IL (max) —V OL(max) (4)传输延迟时间t PLH、t pHL以及tpd = (t PLH + t pHL)/2 (5)功耗(动态功耗和静态功耗)。动态功耗P d = (C pd+C L)* V2DD*f (6)延时--功耗积 (7)扇入数与扇出数 扇入数是指门电路的输入端个数; 扇出数是指正常工作情况下,所能带同类门电路的最大数目。计算扇出数时, 同时考虑输出高、低电平以及负载门类型三种因素,取最小值。 4.漏极开路门、三态门的作用? 5.CMOS传输门的作用? 6.门电路相接时需要考虑两个问题: (1)电平兼容问题:V OH(min)≥V IH(min);V OL(max) ≤V IL(max) (2)扇出(驱动)问题: 灌电流(即驱动门输出为低)时,要求:I OL(max) ≥I IL(total) 拉电流(即驱动门输出为高)时,要求:I OH(max) ≥I IH(total) 7.抗干扰措施(P116-P117,多余端的除理、去耦滤波电容、接地)

数字逻辑教学大纲

《数字逻辑》 教学大纲 哈尔滨师范大学 计算机科学与信息工程学院

《数字逻辑》 一、课程设置的有关说明 1.数字逻辑课程是计算机科学与技术专业重要的必修课。 2.数字逻辑是基于数字电路相关知识的计算机硬件基础课程,是计算机硬件课程体系的一个重要知识环节。 3.设置本课程的目的和要求:由于一方面数字逻辑是一门涉及面较宽的综合性学科,另一方面也是一门正在迅速发展前沿的学科,新的思想、新的理论以及新的方法不断涌现,还有一点值得注意的是数字逻辑在计算机及其相关领域得到广泛的应用。为此,本课在选材、内容组织等方面力求做到:科学性、新颖性、实用性,力图在阐明基本原理和方法的同时,也能反映某些最新的研究成果,使学生比较牢固地掌握本课程分支的基本理论知识及实际应用能力。 本门课程共70学时,其中理论课54学时,实验课16学时;总学分为3学分。 4.本门课程主要讲授数字逻辑的基本理论及设计原理和相关实践,全面介绍数字逻辑的基本概念、设计原理、工作原理、实际应用、技术开发和该技术的未来发展方向和趋势,通过学习该知识体系使学生基本掌握该知识体系得理论知识和该知识体系在计算机相关领域的实际应用,及该课程体系在计算机硬件知识体系的重要地位。并为将来独立的从事基于计算机硬件知识体系的研究与开发打下更坚实的基础。 二、具体教学内容 第一章基本知识(4学时) 1.教学目的和教学基本要求: 掌握数字量与模拟量的特点,数字电路的特点、应用;了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;掌握带符号二进制数的代码表示;掌握几种常用的编码。 2.内容提要: 第一节概述

第二节数制及其转换 第三节带符号二进制数的代码表示 第四节几种常用的编码 3.复习思考题: (1)二、八、十六进制数的转换 (2)8421、2421、余三码的组成 (3)格雷码和二进制转换 第二章逻辑代数基础(12学时) 1.教学目的和教学基本要求: 掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;掌握逻辑函数的三种表示方法(真值表法、逻辑式法、卡诺图法)及其相互之间的转换;掌握逻辑函数的公式化简法和卡诺图化简法;掌握最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。 2.内容提要: 第一节逻辑代数的基本概念 第二节逻辑代数的基本定理和规则 第三节逻辑函数表达式的形式与变换 第四节逻辑函数化简 3.复习思考题: (1)利用逻辑代数基本公式对逻辑函数化简。 (2)化简逻辑函数为最小项之和形式。 (3)利用卡诺图法化简逻辑函数公式。 第三章集成门电路与触发器(12学时) 1.教学目的和教学基本要求: 了解门电路的定义及分类方法;掌握二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理;了解TTL与非门的工作原理,静态输入、输出、电压传输特性及输入端负载特性,开关特性;了解其它TTL门(与非门、或非门、异或门、三态门,OC门)的工作原理及TTL门的改进系列;了解CMOS反相器的工作原理及静态特性;

归纳嵌入式系统概论习题

第一题单项选择题 1、ADDS R0,R1,R2执行完成后,不会对CPSR中的哪一位产生影响( B)? A、N B、C C、V D、F 2、FD表示( B )。 A、满递增堆栈 B、满递减堆栈 C、空递增堆栈 D、空递减堆栈 3、已知R0=0xFFFFFC0F,则执行MVN R1,R0后,R1的值为(C )。 A、0xFFFFFFFF B、0xFFFFFFF0 C、0x3F0 D、0x3F 4、在Linux中使用ls命令显示当前目录的所有内容应使用(A)参数? A、-l B、-a C、-d D、-i 5、在EMBEST IDE开发环境中,程序的默认入口地址为( C )。 A、0x C000 B、0x 1C00 C、0x 8000 D、0x 0 6、已知R0=0x1000,R1=0x2000则执行CMP R0,R1后,R0的值为(B) A、0x2000 B、0x1000 C、0xFFFFF000 D、0xFFFFEFFF 7、已知R1=0x2F,则执行mov R0,R1,ASL #2后,R0的值为(D )。 A、0xBC B、0xBF C、0x2F0 D、0x2F 8、在Linux中查看文件前10行内容的命令是( D)。 A、less B、cat C、tail D、head 9、已知R0=0xFC,则执行BIC R0,#0x3C后,R0的值为( D )。 A、0x18 B、0x24 C、0xC0 D、0x30 10、已知R0*R1=0x1C2F3E4D5C6B,则执行SMULL R2,R3,R0,R1后,R2和R3的值分别为(D)。 A、R2=0x1C2F3E4D R3=0x5C6B B、R2=0x5C6B R3=0x1C2F3E4D C、R2=0x1C2F R3=0x3E4D5C6B D、R2=0x3E4D5C6B R3=0x1C2F 11、EMPU是( B )。 A、嵌入式微控制器 B、嵌入式微处理器 C、片上系统 D、嵌入式数字信号处理器 12、以下不属于CPSR的条件码标志位的是(A)。 A、F B、N C、Z D、C 13、S3C44B0X的内核工作电压是( B )。 A、3.0V B、2.5V C、3.5V D、 1.5V 14、Linux中删除目录的命令是(B)。 A、rm B、 rmdir C、mkdir D、del 15、能实现ARM处理器在两种工作状态之间进行切换的命令是( D )。 A、B B、 BL C、 BLX D、BX 16、若CPSR寄存器的低5位(4-0)的值为10010,则ARM处理器工作于(B) 模式。 A、FIQ B、IRQ C、USER D、SVC

《数字逻辑》课程教学活动大纲

《数字逻辑》教学大纲 一、基本信息 二、课程描述 本课程为专业限定选修课,主要面向计算机科学与技术、网络工程、软件工程、信息安全等专业本科低年级学生。主要目的是使学生掌握数字逻辑电路的基本概念和分析、设计方法,作为专业前导课程,为以后的专业核心课程《计算机组成结构》及其他硬件类课程《微机原理和接口技术》、《嵌入式系统开发技术》等的学习打下良好的基础。 本课程是为缺少电路原理、模拟电子技术等先修课程的计算机与信息学科偏软类专业开设,其要求和难度略低于电子信息学科偏硬类专业,通过该课程的学习使学生掌握数字逻辑电路的应用和发展及逻辑代数等基本知识,重点掌握组合逻辑电路和同步时序逻辑电路的分析和设计等基本方法,使学生具有一定的数字逻辑电路设计能力。另外,使学生了解可编程逻辑器件和现代数字系统设计方法,初步掌握运用EDA工具及硬件描述语言进行简单数字逻辑设计,紧跟市场和技术前沿。

三、教学目标 通过本课程的理论教学和相关实验训练,使学生具备如下能力: 1、掌握基本的逻辑代数知识,能够运用物理知识理解二极管、三极管、集成逻辑门和可编程逻辑器件的基本原理。 2、能够运用逻辑代数方法表达、求解和优化实际数字电路问题, 3、能够分析小规模、中规模组合逻辑电路和时序逻辑电路,掌握各种逻辑门、基本触发器、中规模集成器件的功能及基本应用。 4、能够利用逻辑门、基本触发器、中规模集成器件和可编程逻辑器件设计一定功能的组合逻辑电路和时序逻辑电路,并进行优化。 5、能够应用专业EDA软件设计一定功能的数字系统,并能进行仿真和验证。 四、课程目标对毕业要求的支撑

五、教学内容 第1章绪论(支撑课程目标1) 重点内容:数制和编码的概念,各种不同数制间的转换方法,二进制的运算及原、反、补码数的表示及转换,二-十进制代码(BCD代码)。 难点内容:建立模拟信号和数字信号的概念,二进制的运算及原、反、补码数的表示。 教学内容:掌握数制及其转换,编码的概念,了解常用码的一些应用,熟悉数字编码的转换。 1.1数字电路逻辑设计概述 1.2数制及其转换 1.3二-十进制代码(BCD代码) 1.4算术运算与逻辑运算 第2章逻辑函数及其简化(支撑课程目标1、2) 重点内容:逻辑代数的各种表达形式,逻辑代数的三个规则和常用公式,逻辑代数的化简方法,卡诺图法。 难点内容:简单逻辑命题建立逻辑函数的方法。

第1章—数字逻辑概论

1. 数字逻辑概论 1.1 数字电路与数字信号 1.1.2 一数字信号波形如图题1.1.2所示,试问该波形所代表的二进制数是什么? 解:图题1.1.2所示的数字信号波形的左边为最高位(MSB ),右边为最低位(LSB ),低电平表示0,高电平表示1。该波形所代表的二进制数为010110100。 1.2 数 制 1.2.1 一数字波形如图题1.2.1,时钟频率为4 kHz ,试确定:(1)它所表示的二进制数;(2)串行方式传送8位数据所需要的时间;(3)以8位并行方式传送数据时需要的时间。 解:该波形所代表的二进制数为00101100 。 时钟的周期 110.254T ms f kHz === 串行方式传送数据时,每个时钟周期传送1位数据,因此,传送8位数据所需要的时间t=0.25 ms ×8=2 ms 。 8位并行方式传送数据时,每个时钟周期可以将8位数据同时并行传送,因此,需要的时间t=0.25 ms 。 1.2.2 将下列十进制数转换为二进制数、八进制数和十六进制数(要求转换误差不大于2 -4); (1)43 (2)127 (3)254. 25 (4)2. 718 解:此题的解答可分为三部分,即十﹣二、十﹣八和十﹣十六转换。解题过程及结果如下: 1. 十﹣二转换 2. 将十进制整数43转换为二进制数,采用“短除法”,其过程如下:

从高位到低位写出二进制数,可得(43)D =(101011)B。 (2)将十进制数127转换为二进制数,可以采用“短除法”,也可以采用“拆分法”。 采用“短除法”,将127逐次除2,所得余数即为二进制数,(127)D =27 -1=(10000000)B -1=(1111111)B 。 (3)将十进制数254.25转换为二进制数,由两部分组成:整数部分(254)D =(11111110)B ,小数部分(0.25)D =(0.01)B 。 对于小数部分的十﹣二进制转换,采用“连乘法”,演算过程如下: 将整数部分和小数部分的结果相加得(254.25)D=(11111110.01)B。为了检查转换结果的误差,可将转换结果返回到十进制数,即27+26+25+24+23+22+21+2-2=254.25,可见没有转换误差。 (4)将十进制数2.718转换为二进制数,由两部分组成:整数部分(2)D=(10)B;

《数字逻辑》课程教学大纲

《数字逻辑》课程教学大纲 Digital Logic 课程编号:130301047 学时:48学分:3 适用对象:软件工程、软件工程卓越班、计算机科学与技术、网络工程、物联网工程、医学信息工程、数字媒体技术(理科) 先修课程:计算机基础;离散数学;大学物理;电路原理;模拟电子线路 一、课程的性质和任务 《数字逻辑》把数字电路和逻辑设计有机地联系起来,作为基础,较为深入地阐述了基本数字集成电路的工作原理和电气特性,着重讨论了逻辑电路的基本单元(门电路和触发器),也讨论了中、大规模集成电路及其应用,介绍了一些近年迅速发展起来的器件和电路,同时讨论了作为数字电路与逻辑设计数学基础的逻辑代数及其化简方法。作为重点,系统地讨论了组合逻辑电路、同步时序逻辑电路、异步时序逻辑电路的分析和设计方法;并介绍了采用大规模可编程逻辑器件的数字系统设计的新方法。通过本课程的学习,为学习后继课程打下良好基础,也为学生毕业后从事电子学、通信技术、自动控制、计算机应用等方面的科学研究和技术工作打下良好的基础。 二、教学目的与要求 《数字逻辑》是计算机科学与技术专业的一门专业技术基础课,是实践性很强的课程。通过本课程的教学,让学生了解数字逻辑电路的基本内容,掌握最基本的数字逻辑分析和设计方法。使学生获得电子技术方面的基本理论、基本知识和基本技能,培养学生分析问题和解决问题的能力,为以后进一步深入学习计算机组成原理、可编程逻辑等打好基础。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计。 三、教学内容 第一章:数字逻辑基础 基本内容: 1.1概述 1.1.1模拟量与数字量 1.1.2数字电路的分类 1.1.3数字电路的特点 1.1.4脉冲与脉冲参数 1.2数制与码制 1.2.1数制 1.2.2数的表示方法 1.2.3数制间的转换 1.2.4常用编码 1.3逻辑代数基础 1.3.1逻辑代数中的三种基本运算 1.3.2逻辑函数及其表示方法 1.3.3逻辑代数基本定律及常用公式 1.3.4逻辑函数的公式法化简 1.3.5逻辑函数的卡诺图化简 1.3.6具有无关项的逻辑函数及其化简 教学基本要求:

数字逻辑课程建设

“数字逻辑”精品课程建设的探索与实践 惠丽吴玲 文章编号:1672-5913(2009)02-110-02 摘要:本文结合“数字逻辑”课程的教学,对“数字逻辑”课程教学过程中的教学方法、教学手段等方面进行了有益的探索和改革,强调了在教学过程中应该注重教学的趣味性、知识连贯性以及创新能力培养等方面的内容。 关键词:数字逻辑;教学方法;教学改革 中图分类号:G642 文献标识码:B 1精品课程建设的立足点 “数字逻辑”是计算机科学与技术专业重要的专业基础课,我院将“数字逻辑”作为重点建设课程。经过多年的努力建设,于2007年被评为黑龙江大学校级精品课,2008年被评为黑龙江省级精品课。 精品课程建设是一项系统的工程,涉及师资队伍、教学内容、教学方法与手段、教学资源、教学管理等方方面面。要建设一门精品课程,先要建设具有一流学术水平、丰富教学经验、深厚的教育理论功底、扎实的教学技能、严谨的治学精神的可持续发展的教学团队,认真提炼课程中的基础性内容,将学术前沿的知识引入课堂,精心组织课堂教学,合理规划实验教学,解决教学过程中出现的各种问题。 回顾我们精品课程建设的历程,成绩的取得主要是因为我们在课程建设方面坚持了广泛深入地教学改革,本文将从以下几个方面来介绍我们在“数字逻辑”建设中的探索实践和体会。 2教学方法的改革 在多年的教学实践中我们发现,学生普遍认为“数字逻辑”课程比较抽象、难以理解。面对这样一门课程如何进行教学,我们进行了长期的研究与探索,并取得了一定的成绩。 2.1课堂教学改革 教育是一门艺术,一堂精品课像一场晚会,教师是主持人,学生则是观众。教师组织课堂应该像主持人主持晚会一样,不断提出问题让学生思考,应用多种教学手段,并且衔接自然,改变原来枯燥的课堂气氛,学生反映很好。 ①互动式教学 在课堂教学中,采用相互协调、相互作用、相互推动的教学模式,可以使学生增强学习动力,调动学生的学习积极性,从而提高课堂的教学效果。本课程组在课堂实际教学过程中实行

数字逻辑心得体会(精选多篇)

数字逻辑心得体会(精选多篇) 第一篇:数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达 清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告 题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确

使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。 2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下

数字逻辑课程教学大纲

数字逻辑课程教学大纲

《数字逻辑》教学大纲一、基本信息 课程代码:1252612 课程 性质: 专业限选课 课程名称:数字逻辑英文 名称: Digital Logic 学时/学分:32/2 开课 时间: 大二(下) 适用对象:计算机科学与技术、网络工程、软件工程、信息安全等专业 先修课 程: 大学物理、高级语言程序设计 大纲执笔人:傅均大纲 审核 人: 邢建国 修订时间:2011-12 当前 版本: 2012 二、课程描述 本课程为专业限定选修课,主要面向计算机科学与技术、网络工程、软件工程、信息安全等

专业本科低年级学生。主要目的是使学生掌握数字逻辑电路的基本概念和分析、设计方法,作为专业前导课程,为以后的专业核心课程《计算机组成结构》及其他硬件类课程《微机原理和接口技术》、《嵌入式系统开发技术》等的学习打下良好的基础。 本课程是为缺少电路原理、模拟电子技术等先修课程的计算机与信息学科偏软类专业开设,其要求和难度略低于电子信息学科偏硬类专业,通过该课程的学习使学生掌握数字逻辑电路的应用和发展及逻辑代数等基本知识,重点掌握组合逻辑电路和同步时序逻辑电路的分析和设计等基本方法,使学生具有一定的数字逻辑电路设计能力。另外,使学生了解可编程逻辑器件和现代数字系统设计方法,初步掌握运用EDA工具及硬件描述语言进行简单数字逻辑设计,紧跟市场和技术前沿。 三、教学目标 通过本课程的理论教学和相关实验训练,使学生具备如下能力: 1、掌握基本的逻辑代数知识,能够运用物

理知识理解二极管、三极管、集成逻辑门和可编程逻辑器件的基本原理。 2、能够运用逻辑代数方法表达、求解和优化实际数字电路问题, 3、能够分析小规模、中规模组合逻辑电路和时序逻辑电路,掌握各种逻辑门、基本触发器、中规模集成器件的功能及基本应用。 4、能够利用逻辑门、基本触发器、中规模集成器件和可编程逻辑器件设计一定功能的组合逻辑电路和时序逻辑电路,并进行优化。 5、能够应用专业EDA软件设计一定功能的数字系统,并能进行仿真和验证。 四、课程目标对毕业要求的支撑 毕业要求指标点课程目 标 6、具备扎实的数学、自然科学基础及基本的经管类知识,以及较好外的英语应用6.1 具有扎实的数学 和自然科学基础知识 教学目 标1

数字逻辑心得体会(精选多篇)

数字逻辑心得体会(精选多篇) 第一篇:数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁, 系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从 以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o 接口地址译码电路,设计火灾报警系统、病人呼叫系统、不一致电路、多台电动机控制电路、计数器和寄存器的应用等问题的方法,提出了以问题引导学生积极思

相关文档
最新文档