自动售货机的设计

自动售货机的设计
自动售货机的设计

摘要:

本次EDA课程设计是利用VerilogHDL来设计的,使用MAXⅡEPM570T144C5N型号的FPGA来设计一个自动饮料售卖机,机器设有2个投币孔,机器可以接受1元和0.5元的硬币。每瓶饮料2.5元,1元和0.5元可用2个按键来代替。机器还设有2个输出,这个设计要求机器有对找钱和输出饮料的提示信号。此设计按照EDA课程设计的流程进行源代码的编写、前仿真、综合、布局和布线、设计出电路原理图。本设计主要利用QuartusⅡ、protel 99 SE等设计软件进行设计。

关键词:自动饮料售卖机、计数器、控制器;脉冲;设计

Abstrac t: The curriculum design is the use of EDA VerilogHDL to design, using MAX ⅡEPM570T144C5N models of FPGA to design an automatic beverage vending machines. Slot machines with two Coin holes, it can accept 1 yuan and 0.5 yuan coins.

2.5 yuan per bottle drinks, 1 yuan and 0.5 yuan used two keys instead. Machine also has two outputs, the design requirements on the machine give change and output signals prompted drinks. This design course design process in accordance with EDA source code to write, the former simulation, synthesis, placement and routing, design a circuit schematic. The design mainly uses Quartus Ⅱ, protel 99 SE design software to design.

Keywords:Automatic beverage wending machines ,Counter , Controller , Pulse, Design

前言 (3)

二.总体方案设计: (4)

三设计实现 (6)

3.1 各单元模块功能介绍及电路设计 (6)

3.1.1 投币信号产生电路: (6)

3.1.2电源模块的构成如图所示: (6)

3.1.4数码显示 (8)

四.系统调试与验证 (8)

4.1对计数功能的验证程序及仿真结果: (8)

4.2 投币功能的实现: (9)

4.3 显示功能的实现: (10)

4.4 对取货功能的实现: (13)

4.5 总体功能的实现: (15)

4.6 对部分功能的仿真如图所示: (18)

五设计总结 (23)

六参考文献 (24)

参考文献: (24)

1前言

近年来,集成电路技术的迅猛发展,特别是可编程逻辑器件的高速发展,电子设计自动化EDA技术成为电子设计工程师的新宠。EDA技术以计算机为工具完成数字系统的逻辑综合、布局布线和设计仿真等工作。电路设计者只需要完成对系统功能的描述,就可以由计算机软件进行系统处理,最后得到设计结果,并且修改设计方案如同修改软件一样方便。利用EDA工具可以极大地提高设计效率。

VHDL是指超高速集成电路硬件描述语言。VHDL主要用于描述数字系统的结构、行为、功能、和接口,除了含有许多具有硬件特征的语句外,VHDL的程序结构特点是,将一项工程设计或称设计实体分成外部和内部。在对一个设计实体定义了外部界面后,一旦其内部开发完成,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部的概念是VHDL系统设计的基本点。利用VHDL语言的方便与强大功能的特点设计了一套自动饮料售货机系统。其用方便、可靠、节省人力的自动饮料售卖机机将在社会生活中成为一种趋势,它的出现一定程度上也体现了现代文明的发展程度。

本设计是一套结构简单,操作方便,性能可靠的自动饮料售卖机产品。自动饮料售卖机电路设计采用选择商品电路、投币累加电路、统计卖出商品电路等四个基本电路构成。自动售货机在接受投入钱币后,通过与商品价格比较,确定是否能够售出商品和把算出剩余的钱找回。当商品输出后售货机自动把上次卖出商品的价格和投入的钱币的总和清零,这时才可以进行下次交易。自动售货机还应满足商家查看销售商品的总和及使其清零,和控制该售货机进行整体复位。该售货机可以帮助商家更好的销售商品,并且节省人力,获得更大的利润

自动饮料售卖机是能够根据投入的钱币自动取出饮料的机器,它是商业自动化的常用设备,不受时间、地点的限制,能节省人力、方便交易。现代的自动饮料售卖机的种类、结构和功能依出售的饮料种类而异。钱币装置是自动饮料售卖机的核心,其主要功能是确认投入钱币的真伪,分选钱币的种类,计算金额。如果投入的金额达到购买物品的数值即发出售货信号,并找出余钱。自动饮料售卖机自动输出顾客所要的商品。因此,自动售货机在商业,食品行业越来越普及,更方便消费者购买自己所需的商品。

自动售卖机是本世纪70年代在日本、欧美发展起来的高新技术现代应用产品,被称为24小时营业的微型超市,售货领域已扩展至小食品、小用品、香烟、电话卡、

1

车票、邮票等。目前在40多个国家已经广泛使用,日本平均每23人一台,美国平均每40人一台,欧洲平均每60人一台。在邻国日本,70%份额的罐装饮料市场是通过自动售货机售出的;美国可口可乐公司拥有五十余万台饮料自动售货机分布在世界各地。通过自动售货机销售将成为商品零售业不可分割的重要组成部分。

二.总体方案设计:

方案1

此方案中,自动售货机系统以FPGA 器件作为处理和控制核心,能完成货物的信息存储,进程控制,硬币处理,余额计算,显示等功能。系统根据用户输入的货币,判断钱币是否足够,足够则售货,不够则提示并退出。系统自动的计算出应找钱币余额、库存数量并显示。系统按功能分为:分频模块、控制模块、译码模块、译码显示模块。 方案1的系统组成方框图:

方案2

自动售货机控制系统设计主要有三个功能模块,一个为售货机模块:该模块能完成货物信息的存储,硬币处理,余额计算。一个为动态扫描模块:功能主要是硬件实验板上让两路信号同时在两个7段数码管上显示出来。另一个为输出控制模块:该模块主要是控制OUT 和OUT1输出信号来控制阀门打开与找钱,并且控制阀门的打开时间。

设计思路:控制信号输入到售货机模块,售货机进行各项功能操作,输出信号在7段数码管

上显示出来。

选择:方案1功能模块较多,且每个功能模块下还包括几个程序模块,设计起来,程序输入必然很多很繁琐,当然涉及到的问题就较多,较复杂,而且对clk 的分频也有多次,这不太利于功能和时序仿真,也不太利于最后在硬件实验板上进行模拟实验。 方案2功能模块较少,但是功能实现都一样,这就减少了程序的繁冗,最后电路图显得就更加简短明了,越少的中间环节,实际操作起来肯定相对要容易一些,所以最后选择方案。 方案2的系统组成框图:

本系统由对外部投入硬币的检测来产生脉冲,并对产生的脉冲进行计数,其中a 表示投入的是0.5元的硬币,b 表示投入的是1元的硬币,每次根据投入的硬币不同而分别计数,并将投入的总金额用两位数码管显示出来,当达到了交易金额时,out 产生一个正脉冲,此正脉冲控制闸门打开,汽水掉出来,当超出了交易金额,则out1会产生一个正脉冲,饮料售卖机找回0.5元钱。

三设计实现

3.1 各单元模块功能介绍及电路设计

自动饮料售货机主要是由以下几个部分构成,电源电路、数码显示、时钟脉冲、投币脉冲、闸门时间控制等,各部件形成一个简单的自动售货机系统。

此设计主要用到MAXⅡEPM570T144C5N 芯片,其实现主要的功能是对投币信号的计数与计算,判断是否达到所买物品的价格,如果不足的显示所投的钱数,钱足则控制闸门三秒后放出饮料。

3.1.1 投币信号产生电路:

自动售货机的所有信号均由同步时钟信号CLK的正边沿触发,由于售货机的硬币接收器一般是一个机械装置,投币时产生的信号要比同步信号慢得多,一般可以持续好几个周期。必须对投币输入信号进行处理,使每一次投币时在CLK脉冲周期内只能产生一个脉冲作为功能控制模块的投币驱动信号。基于一面的功能要求,设计了下面所示的投币输入信号的处理电路

投币信号产生

3.1.2电源模块的构成如图所示:

此电路是5V转3.3V的电源电路图,芯片的工作电压是在3.3V达到最佳状态,二极管的额定电流值应大于最大负载电流的1.2倍,但考虑到负载短路的情况,二极管的额定电流值应大于LM2575的最大电流限制;另外二极管的反向电压应大于最大输入电压的1.25倍。输入电容应大于47μF,并要求尽量靠近电路。而输出电容推荐使用的电容量为100μF~470μF,其耐压值应大于额定输出的1.5~2倍。对于5V电压输出,推荐使用耐压值为16V的电容。

3.1.3时钟电路

在功能实现模块中,售货机在放出饮料的时候需要延时三秒钟,程序中COUNT1数3000下,因此需要1KHZ的时钟脉冲,设计中为同步时钟,所以此时钟脉冲电路为芯片提供“脉搏”。图中是一种1KHZ脉冲发生电路。它由集成电路CD4060和晶振、电阻和电容等元件组成。CD4060是14位二进制串行计数、分频器和振荡器。CD4060内部分为两部分,其中一部分是14级计数/分频器,其分频系数为16~16348;另一部分既可与外接电阻和电容构成RC振荡器,又可与外接晶体构成高精度的晶体振荡器。在采用一定频率的晶振组成晶振振荡器,其震荡信号经过CD4060内部分频后,在Q输出相应频率的脉冲信号。设计中需要1000HZ的时钟脉冲,所以外接30720HZ的晶振,在Q5端输出大约是1000HZ的时钟脉冲。

3.1.4数码显示

根据程序中,在不满足交易金额的时候,需要把所投的币数额显示在数码管上,利用一个控制信号weixuan来控制数码管的显示位置,当weixuan为0时着在低位显示,当weixuan为1时选择高位。硬件中选用两个数码管来显示,芯片输出的电流不能驱动数码管来显示,因此需要74HC245来驱动数码管的显示,E端必须接地,这样芯片才能正常工作,DIR端的功能是:当为高的时候数据A到B,为低的时候B到A。设计中使用两片芯片,一片来让数码管显示数值,一片来控制weixuan让数码选择显示的位置。在74HC245与数码管之间需要用一个电阻。当投入五角的硬币的时候,由于不满足交易金额则数码管上显示0.5,为一元的时候则为01。

数码管寄存器

四.系统调试与验证

通过对以下各段程序的仿真得出了总的原理图,通过绘图得出了以下电路图:

4.1对计数功能的验证程序及仿真结果:

module jishu(a,b,out,a1,b1);

input a,out,b;

output a1,b1;

reg [4:1]a1,b1; //汽水出来后计数值清零

always @(posedge a or posedge out)

begin

if(out==1) a1<=0; //汽水出来后计数值清零

else a1<=a1+4'b1;

end

always @(posedge b or posedge out)

begin

if(out==1) b1<=0; //汽水出来后计数值清零

else b1<=b1+4'b1;

end

endmodule

该部分程序生成的原理图如下;

仿真图如下:

4.2 投币功能的实现:

程序如下:

module toubi(clk,a1,b1,out,out1);

input clk;

input [3:0]a1,b1;

output out; //out为高电平时售卖机闸门打开,汽水掉出来output out1; //out1为高电平时候找0.5元

reg out,out1;

always@(posedge clk)

begin

if(a1==5) out<=1; //因为汽水为2.5,可以给5个0.5元else if(a1==3&&b1==1) out<=1; //可以给3个0.5元和1个1元

else if(a1==1&&b1==2) out<=1; //,可以给1个0.5元和2个1元else if(b1==3)begin out<=1;out1<=1;end

end

endmodule

程序仿真结果:

4.3 显示功能的实现:

程序如下:

module xianshi (clk,a,b,a1,b1,duanxuan,weixuan,);

input clk;

input a,b;

input [3:0]a1,b1; //a为投入0.5元信号,b为投入1元信号

output [8:1]duanxuan; //输出8位段选信号

output weixuan; //输出1位位选信号

reg weixuan;

reg [8:1]duanxuan;

reg [31:0]count; //数码管动态扫描计数

reg [31:0]count1; //用于计算售卖机闸门打开时间

always@(posedge clk)

begin

if(a1==0&&b1==0)

begin

count<=count+1;

if(count==50) //如果实际运行中发现数码管有闪烁,可以将此数值调小

begin

weixuan<=1'b0;

duanxuan<=8'b00111111; //0

end

if(count==100) //如果实际运行中发现数码管有闪烁,可以将此数值调小

begin

weixuan<=1'b1;

duanxuan<=8'b00111111;

count<=0;

end

end

else if(a==1) //投币0.5元,显示0.5,假设数码管为共阴极的

begin

count<=count+1;

if(count==50) //如果实际运行中发现数码管有闪烁,可以将此数值调小

begin

weixuan<=1'b0;

duanxuan<=8'b01101101; //5

end

if(count==100) //如果实际运行中发现数码管有闪烁,可以将此数值调小

begin

weixuan<=1'b1;

duanxuan<=8'b10111111; //0.

count<=0;

end

end

else if(b==1) //投币1元,显示01

begin

count<=count+1;

if(count==50) //如果实际运行中发现数码管有闪烁,可以将此数值调小begin

weixuan<=1'b0;

duanxuan<=8'b00000110; //1

end

if(count==100) //如果实际运行中发现数码管有闪烁,可以将此数值调小begin

weixuan<=1'b1;

duanxuan<=8'b00111111; //0

count<=0;

end

end

end

endmodule

仿真图如下:

4.4 对取货功能的实现:

程序如下:

module quhuo (clk,a1,b1,out,out1);

input clk;

input [3:0]a1,b1;

output out; //out为高电平时售卖机闸门打开,汽水掉出来output out1; //out1为高电平时候找0.5元

reg out,out1;

reg [31:0]count1;

always@(posedge clk)

begin

if(a1==5) out<=1; //因为汽水为2.5,可以给5个0.5元

else if(a1==3&&b1==1) out<=1; //可以给3个0.5元和1个1元

else if(a1==1&&b1==2) out<=1; //,可以给1个0.5元和2个1元else if(b1==3)begin out<=1;out1<=1;end

if(out==1)

begin

if(count1==3000)//让闸门打开3秒,由于为1KHz时钟,故要数3000下

begin

out<=0;

out1<=0;

count1<=0;

end

else count1<=count1+1;

end

end

endmodule

程序生成原理图如下:

仿真图如下:

4.5 总体功能的实现:

程序如下:

module shoumaiji(clk,a,b,duanxuan,weixuan,out,out1);

input clk;

input a,b; //a为投入0.5元信号,b为投入1元信号output [8:1]duanxuan; //输出8位段选信号

output weixuan; //输出1位位选信号

output out; //out为高电平时售卖机闸门打开,汽水掉出来output out1; //out1为高电平时候找0.5元

reg weixuan;

reg [8:1]duanxuan;

reg [31:0]count; //数码管动态扫描计数

reg [31:0]count1; //用于计算售卖机闸门打开时间

reg [4:1]a1,b1; //用a1,b1寄存投入的0.5元和1元硬币数目reg out,out1;

always @(posedge a or posedge out)

begin

if(out==1) a1<=0; //汽水出来后计数值清零

else a1<=a1+4'b1;

end

always @(posedge b or posedge out)

begin

if(out==1) b1<=0; //汽水出来后计数值清零

else b1<=b1+4'b1;

end

always@(posedge clk)

begin

if(a1==5) out<=1; //因为汽水为2.5,可以给5个0.5元

else if(a1==3&&b1==1) out<=1; //可以给3个0.5元和1个1元

else if(a1==1&&b1==2) out<=1; //,可以给1个0.5元和2个1元else if(b1==3)begin out<=1;out1<=1;end //可以给3个一块的

else if(a1==0&&b1==0)

begin

count<=count+1;

if(count==50) //如果实际运行中发现数码管有闪烁,可以将此数值调小

begin

weixuan<=1'b0;

duanxuan<=8'b00111111; //0

end

if(count==100) //如果实际运行中发现数码管有闪烁,可以将此数值调小

begin

weixuan<=1'b1;

duanxuan<=8'b00111111;

count<=0;

end

end

else if(a==1) //投币0.5元,显示0.5,假设数码管为共阴极的

begin

count<=count+1;

if(count==50) //如果实际运行中发现数码管有闪烁,可以将此数值调小

begin

weixuan<=1'b0;

duanxuan<=8'b01101101; //5

end

if(count==100) //如果实际运行中发现数码管有闪烁,可以将此数值调小begin

weixuan<=1'b1;

duanxuan<=8'b10111111; //0.

count<=0;

end

end

else if(b==1) //投币1元,显示01

begin

count<=count+1;

if(count==50) //如果实际运行中发现数码管有闪烁,可以将此数值调小begin

weixuan<=1'b0;

duanxuan<=8'b00000110; //1

end

if(count==100) //如果实际运行中发现数码管有闪烁,可以将此数值调小begin

weixuan<=1'b1;

duanxuan<=8'b00111111; //0

count<=0;

end

end

if(out==1)

begin

if(count1==3000)//让闸门打开3秒,由于为1KHz时钟,故要数3000下begin

out<=0;

out1<=0;

count1<=0;

end

else count1<=count1+1;

end

end

endmodule

程序生成的原理图如下:

仿真图如下:

4.6 对部分功能的仿真如图所示:

4-6-1 .不满足交易金额时对a.b进行计数

4-6-2当一种投币组合达到交易金额时产生一个out高脉冲

自动售货机控制系统的设计(已修)

自动售货机控制系统的设计专业:电子信息工程 班级:10级电信(1)班 学号:12010248815 姓名:李浩

1.1系统描述与控制要求 PLC 控制的自动售货机系统主要有三个可以感受到投币量的传感器,六个按钮开关(分别为启动按钮、停止按钮、退币按钮、汽水选择按钮、花茶选择按钮和咖啡选择按钮)三个指示灯,(分别为汽水指示灯,花茶指示灯和咖啡指示灯),和两个七段数码管。传感器用来接收投币信号,传送投币量的多少;按钮开关分别对系统功能进行控制,当投入的钱币够某一种饮料时,按下这种饮料的选择按钮时才会有饮料送出,有剩余钱币时,还可以通过按下退币按钮来找回剩余钱币;三个指示灯分别用来只是哪种饮料可以选择,只有投币量大于或等于这种饮料的价格时,这种饮料的指示灯才会亮;同时,还有两个七段数码管,用于显示当前剩余的总钱币。具体对自动售货机的控制要求如下: 1.自动售货机有3 个投币孔,分别为1 元、5 元和10 元。 2.售货共有3种饮料供选择,分别为汽水、花茶和咖啡。 3.如投币总额超过销售价格,将可由退币钮找回余额。 4.投币总额或当前值显示在7段数码管上。 5.投币值等于或大于12 元时,汽水指示灯亮,表示只可选择汽水。 6.投币值等于或大于15元时,汽水和花茶指示灯亮,表示可选择汽水和茶。 7.投币值等于或大于20元时,汽水、花茶和咖啡指示灯亮,表示3 种均可选择。 8.按下要饮用的饮料按钮,则相对应的指示灯开始闪烁,3s 后自动停止,表示饮料已经掉出。 9.动作停止后按退币钮,可以退回余额,退回金额如果大于10元,则先退10元再退1 元,如果小于10元则直接退 1 元的。 1.2控制工艺分析 自动售货机的基本功能就是对投入的钱币数进行计算, 然后根据运算结果做出相应的判断,看看哪种商品可以进行购买,哪种商品不能购买,本次课程设计的要求是可识别三种钱币,分别是 1 元,5元,10 元,采用三个按钮进行模拟, 自动售货机共出售3 种货物,其价格分别定为12 元,15元,20元,当投币数大于等于货物价格时,该种货物的指示灯亮,表示可以进行购买,3 种货物分别用3个信号灯进行指示.除此之外,本次设计还涉及了显示,找零等功能的实现, 其中显示部分采用数码管进行显示, PLC的外围接口由两个CD4511 连接两个数码显示管,以显示投币总数和购买后的余额;当按下找零按钮后,数码管显示进行清零。整个系统由三个可以感受到投币量的传感器,六个按钮开关,三个指示灯,和两个七段数码管和两片CD4511组成。

自动售货机控制系统的设计(最终版)

课程设计任务书 设计题目:饮料自动售货机PLC控制系统设计 学院:机械工程学院 专业:机械测控 班级: 姓名: 组员: 指导老师:

【摘要】 本文介绍了自动售货机的基本原理以及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。具体说明了可编程序控制器在自动售货机中的作用。程序涉及到了自动售货机工作的绝大部分过程。利用PLC控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词:自动售货机;可编程序控制器;梯形图 Abstract:This paper introduces the basic principles of vending machines, as well as workflow, and then to a transaction process as an example, the transaction process is divided into several blo ck, and then the block were programmed. Specify the PLC in the r ole of vending machines. Procedures related to the work of the va st majority of vending machine process. PLC control of the use of vending machines enhance the stability of the system to ensure t hat vending machines capable of long-term stable operation. Key words:Vending machines; PLC; Ladder Diagram 一.PLC的概述 1.1 PLC的产生

自动售货机后台管理系统说明书版本TCNVMMS318一系统功能

自动售货机后台管理系统说明书 版本:TCN VMMS 3.18 一系统功能说明 运营监控、历史记录、系统设置、货机管理、商品管理、报表统计、系统日志 1、运营监控包括:状态监控和销售监控 ○1状态监控显示机器状态,可选择机器组和机器组合查询(如果没有选择机器组,默认查 询当前用户下所有的机器。如果选择了机器组没有选择机器号,查询的是该组下的机器。) ○2销售监控默认显示当天的销售数据,如要查看明细先选中要查询查看机器记录呈蓝色, 然后单击【查询明细】,交易流水显示出货的流水记录,单击每一条出货记录,在右边会显示该笔记录的收、退币信息。 2、历史记录包括:销售明细、出货记录、钱币记录、刷卡记录、报警 ○1销售明细与运营监控和销售监控相似,多了时间段选择。 ○2出货记录需要指定机器查询

○3钱币记录需要指定机器查询 ○4刷卡记录需要指定机器查询 ○5报警需要指定机器查询 3、系统设置包括:角色管理和用户管理 ○1角色管理不同的角色可分配不同的权限,选中要授权的角色单击【授权】,打勾的是该角色拥有的功能。

○2用户管理新增、修改、删除用户,设置用户角色、是否启用。

4、货机管理包括:机器组设置、机器管理、货道管理 ○1机器组设置新增、修改删除机器组,实现机器的分组管理。例如:客户购买了100台机器可分多个组管理。 ○2机器管理可看到当前用户下的所有机器,点击某一行记录或弹出编辑对话框,设置机器的别名、部署地址,选择机器组名。

○3货道管理需要指定机器,点击查询会显示机器的所有货道号,双击某个道会出现对话框,可选择更改货道商品 5、商品管理包括:厂商类型、商品类型、厂商管理、商品信息 ○1在新增商品信息前需要把厂商类型、商品类型、厂商信息录入。

基于PLC的自动售货机的设计

一、绪论 自动售货机是可完成无人自动售货,集光、机、电一体化的商业自动化设备。自动售货机不受任何场地限制,方便快捷,可以每天24h售货,因此深受上班族的欢迎。很多城市的公共场所里面都放置有自动售货机。出售的商品五花八门,从饮料、零食、香烟、糖果、牙刷、方便面、自动照相机。近年来,我国的自动售货机行业突飞猛进:在汽车总站、商厦、医院、小区、学校等地区都能找到自动售货机的踪迹。这种方便快捷的购物方式越来越受到人们的青睐,同时也是现代化城市配套设施的需求。传统的自动售货机控制系统采用单片机作为控制核心,这样不仅会在输入输出接口上做大量的工作,而且系统的抗干扰性也比较差。可编程序控制器(PLC)是综合了计算机技术、自动控制技术和通讯技术而发展起来的一种新型的工业控制装置,它具有可靠性高、编程简单、维护方便等优点,已在工业控制领域得到广泛地应用。因为自动售货机作为社会上完成商品零售和综合服务的独立设施,要求它的结构必须可靠、稳定和执行效率高。本论文就详细地介绍一种用PLC实现的自动售货机控制系统的硬件设计和软件设计。 (一)自动售货机的应用与研究现状 自上世纪70年代以来,自动售货机在国际上迅速发展起来而成为一个新兴的产业,特别是在服务和商业领域日益发展。随着自动售货机的普及,也逐渐被应用于其他的领域。 随着中国经济的发展、行业运作的变革和入世的到来,自动售货机在中国的普及和应用是必然趋势。纵观近年来国内自动售货机市场,从92年开始从日、韩等国引进旧机器摆放国内市场以来,到自行研制和生产国产化自动售货机,各厂家、商家蹒跚起步、艰难守业,已为国内零售业撑起一片蔚蓝的晴空。据来自国内自动售货机市场行情分析报告表明:目前国内自动售货机市场走势已逐渐明晰,自动售货机逐步从沿海经济发达地区和各大中城市向内陆和次发达地区延伸,逐步从高级宾馆、地铁、机场等豪华高档场所向高中档社区普及,大有星火燎原之势。 自动售货机的普及在某种程度上能反映一个国家的科技发展水平,是一个国家文明程度的象征。实践和直觉告诉我们,自助服务在中国的横空出世,必将为国内的电信、公用事业、食品、香烟、饮料等诸多行业发展带来新的契机,中国的现代文明又将自助服务设备的应用和普及开启一个新的里程碑!

自动售货机控制系统设计

综合课程设计报告书 自动售货机控制系统设计 题目: 学院:机械与电气工程学院 专业:电气工程及其自动化 班级:13级2班 姓名:付少官 学号: 指导教师:崔茂齐 教师职称:讲师 2016年6月29日

机械与电气工程学院综合课程设计任务书 专业:电气工程及其自动化班级:2013-2 姓名:付少官 自动售货机控制系统设计 (1) 摘要 (1)

Abstrct (1) 1、绪论 (1) 2、自动售货控制系统设计总体方案 (2) 元器件选择 (2) 开发工具 (2) 3、自动售货控制系统硬件设计 (3) 硬件总体框图 (3) AT89C51单片机模块(介绍本次设计所到的功能) (3) (3) (4) 本次所应用的管脚说明 (4) 单片机驱动模块 (5) 选择按键模块 (5) (6) (6) 系统显示模块 (6) 数码管显示器 (6) 显示器的驱动电路 (7) 出货以及退币模块 (8) 4、自动售货控制系统软件设计 (8) 系统流程图 (8) 元器件计算 (9) 5、系统总电路图及调试仿真 (10) 总电路图 (10) 系统调试 (11) 系统仿真 (11) 总结及致谢 (14) 参考文献 (15) 附录 (16) 附录1、元件清单 (16) 附录2、源程序 (16) 附录3、自动售货机控制系统protel99se原理图 (23)

自动售货机控制系统设计 付少官 摘要本文详细介绍了自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。该系统以单片机AT89C51芯片为核心,采用集中控制方式实现了对自动售货机全过程的自动控制。本系统选择独立式键盘按键作为货物选择端,实现了投币总数的显示功能。此外,系统程序采用keil软件进行程序的编写和编译,该软件具有编程简单、查错方便、阅读容易等特点。汇编语言是程序的基本语言,具有容易理解,便于记忆和使用等特点。 关键词:自动售货机,AT89C51,LCD显示 Abstrct T his paper describes a vending machine system design, hardware selection, software planning and preparation, and describes the focus of the work vending machine system theory, system design, software programming principles and techniques. AT89C51in the system as the core chip, the realization of a centralized control of the vending machine's automatic control the entire process. Choice of the system as a stand-alone keyboard keys to choose the goods side, the realization of the coin shows the total number of features. In addition, the system program using keil software to prepare and compile procedures, the software programming is simple, convenient error checking, easy to read and so on. Assembly language is the basic language program with easy to understand, easy to remember and use and so on. Key words:Vending Machines,AT89C51,LED Display 1、绪论 自动售货机是一种全新的商业零售形式,从自动售货机的发展趋势来看,它的出现是由于劳动密集型的产业构造向技术密集型社会转变的产物。大量生产、大量消费以及消费模式和销售环境的变化,要求出现新的流通渠道;而相对的超市、百货购物中心等新的流通渠道的产生,人工费用也不断上升;再加上场地的局限性以及购物的便利性等这些因素的制约,无人自动售货机作为一种必须的机器便应运而生了。 随着科技的发展及人们生活水平的提高,自动售货机市场越来越呈现出多元化及个性化的需求。人们通过自动售货机自助购物,这种简单、快捷的方式正逐渐成为市民的一种新的消费时尚,并且满足了人们在当今科技高速发展的现代社会追求高品质生活的需要。自动售货机在城市商业区、写字楼大厅、风景区、车站、码头、繁华街道等公共场所的布设能够美化城市环境,方便群众生活,它已经成为城市各角落的一道亮丽风景线。 自动售货机必将在国内普及,并成为城市现代文明程度的一种象征性标志。本文详细介绍了如何控制、要求进行自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。

售货机说明书

自动售货机控制系统 简介 一、硬件设备概述 1.硬件总装一览 2.android主板 1)主控板参数 2)接线方式 3.控制器 1)前面板接口 2)后面板接口 4.选配硬件设备 1)触摸显示屏 2)红外掉货监测 3)温度监测 4)通讯模块 5)金属键盘 6)其它 二、安卓界面操作说明 1. 购买界面 1)默认广告界面 2)商品选择 3)支付界面 4)掉货并返回广告界面 2. 业主界面 1)业主界面 2)货道检测界面 3)补货界面 4)库存管理 5)默认库存设置 6)机型设置 三、后台使用说明 1.登录界面 2.促销管理 3.广告管理 4.报表管理 5.系统管理 四、联系我们

一、硬件设备概述 1.硬件总装一览 系统:安卓操作系统 操作方式:触摸显示屏、数字按键 电源:直流24V,5A 电机:10*6(支持拓展至300) 出货检测:支持 前面板按键:支持 数字按键板:支持 MDB纸币器和硬币器:支持 硬件设备 产品名称规格型号数量单位备注 安卓主板MX6801-D 1 件系统平台,界面显示、数据处理、通讯控制 电机驱动盒T200 1 件货道电机、柜门电磁阀 控制 触摸屏大小可选型 1 件广告播放、购买界面显示、管理界面 红外掉货监测发射接收 1 件确认货品掉落温度监测DS18B20 1 件温度监测货道电机DSC7757L-12A06 若干件弹簧货道控制电磁阀DSC7757L-12A06 若干件柜门控制 电机拓展板60货道支持4个选配货道电机、柜门电磁阀 控制 3G模块龙尚U7500 1 选配配合SIM卡通讯金属键盘4*3 1 选配数字输入按键IC读卡器自定义充值卡 1 选配刷卡消费 纸币器ICT-NE77 1 选配现金支付 硬币器ICT-MH 1 选配现金支付其他压缩机、电源、开关、电缆、门锁、安装结构件等由客户自行选配

plc自动售货机控制系统的设计

目录 第1章自动售货机的控制工艺流程分析 (1) 1.1系统描述与控制要求 (1) 1.2控制工艺分析 (2) 第2章自动售货机的控制系统方案设计 (3) 2.1自动售货机系统的硬件组成 (3) 2.2I/O分配 (4) 2.3自动售货机控制系统外部接线图 (5) 第3章系统的流程图 (6) 第4章程序设计 (7) 4.1计币部分 (7) 4.2比较部分 (7) 4.3选择和饮料供应部分 (8) 4.4余额计算部分 (8) 4.5退币部分 (9) 4.6整体运行梯形图 (11) 第5章梯形图程序调试 (14) 课程设计心得 (15) 参考文献 (16)

第1章自动售货机的控制工艺流程分析 自动售货机是可完成无人自动售货的商业自动化设备,它不受任何场地限制,方便快捷,在我国也越来越普及。传统的自动售货机采用单片机作为控制核心,但这样在输入输出接口上消耗很大。PLC不但可实现类似控制功能,还具有可靠性高、编程简单、功能强、能耗低、调试方便等优点。本文设计的是售汽水和咖啡这两种饮料的自动饮料机,它的售货过程是:首先由顾客按下商品选择开关时,然后顾客投入的硬币(投入硬币的面值和剩余值由PLC驱动数码管显示)经过光传感器感应,再由光传感器驱动硬币识别传感器识别硬币(由于能力有限,在识别硬币上不做具体研究)。如果是无效币则使继电器打开阀门,将硬币退出;如果是真币则系统将硬币自动传送到相应的硬币贮币腔,并经硬币识别传感器将信号送给PLC。最后经顾客选择,同时PLC控制,使PLC的输出口上有相应的信号输出。若贮币腔内无硬币(红外检测器感应)、饮料的量位小于设定值(红外传感器感应)、售货机遭损,系统就报警【7】。大体运行如图1.1: 图1.1自动售货机控制系统硬件组成示意图 1.1系统描述与控制要求 PLC 控制的自动售货机系统主要有三个可以感受到投币量的传感器,六个按钮开关(分别为启动按钮、停止按钮、退币按钮、汽水选择按钮、花茶选择按钮和咖啡选择按钮)三个指示灯,(分别为汽水指示灯,花茶指示灯和咖啡指示灯),和两个七段数码管。传感器用来接收投币信号,传送投币量的多少;按钮开关分别对系统功能进行控制,当投入的钱币够某一种饮料时,按下这种饮料的选择按钮时才会有饮料送出,有剩余钱币时,还可以通过按下退币按钮来找回剩余钱币;三个指示灯分别用来只是哪种饮料可以选择,只有投币量大于或等于这种饮料的价格时,这种饮料的指示灯才会亮;同时,还有两个七段数码管,用于显示当前剩余的总钱币。具体对自动售货机的控制要求如下:

自动售货机控制系统

电子课程设计 ——自动售货机控制系统 学院:电子信息工程学院 专业、班级:通信101501 姓名:黄兆凯 学号:201015030106 指导教师:李东红 2012年12月

目录 一、设计任务与要求 (1) (1)基本要求 (1) (2)提高要求 (1) 二、总体框图 (1) (1)设计思路 (1) (2)系统原理 (1) 三、器件选择 (2) 四、功能模块 (2) (1)功能控制模块 (2) (3)输入信号处理模块 (5) 五、总体设计电路说明 (6) (1)系统仿真 (7) (2)硬件验证(操作) (8) 六、总结 (9)

自动售货机控制系统 一、设计任务与要求 (1)基本设计要求 设计一个模拟自动售货机的逻辑控制电路 1、它有两个投币口分别为一元投币口和五角投币口。 2、每次只能投入一枚一元或五角硬币。 3、投入一元五角硬币后机器自动给出一瓶矿泉水。 投入两元硬币后,在给出一瓶矿泉水的同时找回一枚五角的硬币。 (2)提高要求 1、增加一个五元投币口和出水数量选择按钮,一个一元的找钱端口。 二、总体框图 1、设计思路 根据设计要求可以对售货机的基本功能进行确定。它应该能够区分一元和五角硬币,并且能够对投入的货币进行累加。当所投币值达到商品售价。就自动送出商品。因为题目要求只有一种商品并且其售价为一元五。根据这一特殊点可设计方案如下:用两个开关分别模拟投入一元和五角硬币时产生的信号,高电平有效。用两颗LED分别模拟售出商品和找钱信号,灯灭有效。 2、系统原理 自动售货机的所有信号均由同步时钟信号clk的正边沿触发。由于售货机的硬币接受器一般是一个机械设置装置,投币时产生的信号要比同步信号clk要慢得多,一般可持续好几个周期,必须对投币输入信号进行处理,使每一次投币时在clk脉冲周期内只能产生一个脉冲作为功能控制模块的投币驱动信号。因此可将该系统分为两个模块。 如图一:

自动售货机控制系统的设计

课程设计题目:自动售货机控制系统的设计 目的与任务: (1)进一步掌握MAX+PLUSⅡ软件的使用方法; (2)会使用VHDL语言设计小型数字电路系统; (3)掌握应用MAX+PLUSⅡ软件设计电路的流程; (4)掌握自动售货机的设计方法; (5)会使用GW48实验系统。 内容和要求: 设计一个简易的自动售货机,它能够完成钱数处理、找零、显示、退币等功能。 (1)用3个键表示3种钱,再用3个键表示3种物品。 (2)用2个数码管显示输入的钱数,再用2个数码管显示所找的钱数,以元为单位。 (3)买东西时,先输入钱,用数码管显示钱数,再按物品键,若输入的钱数大于物品的价格,用数码管显示所找的钱数,并用发光二极管表示购买成功。 (4)若输入的钱数少于物品的价格,用数码管显示退出的钱数,并用发光二极管表示购买失败。

设计内容(原理图以及相关说明、调试过程、结果) 一、系统设计方案 根据系统要求,系统的组成框图如图1所示。 (1) 即可,改变设 (a2)控制模块是这个系统的核心模块,它具有判断按键、计算输入钱数总和、计算找零、控制显示四个作用。它的工作原理是每当时钟上升沿到来时,判断哪个按键按下,若按下的是钱数键,则将钱数保存于中间信号COIN,若下次按下的仍是钱数键,COIN的值则加上相应的值并显示于数码管;当物品键按下时,则将COIN的值与物品价格进行比较,然后控制找零。 (3)由于钱数可能大于9,所以译码显示模块的作用就是将钱数译码后用两个数码管显示,这样方便观察。 根据各个功能模块的功能并进行整合,可得到一个完整的自动售货机系统的整体组装设计原理图,如图2所示。 图2 设计原理图 二、系统主要VHDL源程序 (1)分频器的源程序(外部时钟选用3MHz,实现3万分频)

基于VHDL的自动售货机的设计与实现

自动售货机实验报告 一、设计任务 设计一个自动售货饮料机,设每瓶饮料2.5元,投币口有两个,1元硬币(one)或5角硬币(half),每个时刻可以输入其中一种硬币,自动售货机有找零钱功能,oute为出货,outm为找零。 二、设计过程 1.设计思路: 状态定义:s0表示初态,s1表示投入5角,s2表示投入1元,s3表示投入1元5角,s4表示投入2元,s5表示投入2元5角,s6表示投入3元。 输入信号:state_outputs(0)表示输入货物,comb_outputs(1)表示找5角硬币,输入信号为1 表示投入硬币,输入信号为1表示未投入硬币。 输出信号:comb_outputs(0)表示输出货物,comb_outputs(1)表示找5角零钱,输出信号为1表示输出货物或找零,输入信号为0表示不输出货物或找零。 根据设计要求分析,得到状态转化图如下图所示,其中状态为s0、S1、S2、S3、S4、S5、S6;输入为state_inputs(0,1);输出为comb_outouts(0,1);输入仅与状态有关,因此将输入写在状态圈内部。

2.设计步骤: (1)创建工程。打开QuartusII ,创建一个新的工程并命名。根据实验室条件,选择的芯片为cyclone 系列中的EP1C6Q240C8芯片。 00

输入VHDL文本文件。新建文本文件VHDL file。输入程序并保存。程序如下:library ieee; use ieee.std_logic_1164.all; entity autosell is port(clk,reset :in std_logic; state_inputs:in std_logic_vector(0 to 1); comb_outputs:out std_logic_vector(0 to 1)); end autosell; architecture be of autosell is type fsm_st is(s0,s1,s2,s3,s4,s5,s6); signal current_state,next_state:fsm_st; begin reg:process(reset,clk) begin if reset='1'then current_state<=s0; elsif rising_edge(clk)then

plc自动售货机说明书

《电气控制与PLC》综合训练 说明书 专业名称:电气自动化技术 班级: 学号: 姓名: 指导教师: 日期:年月日

《电气控制与PLC》综合训练课程设计评阅书

本实验设计基于西门子S7-200系列PLC进行自动售货机控制系统的设计,阐述了自动售货机系列设计的基本原理及工作流程,按后以一次交易过程为例,把交易分成了几个程序模块,分别用PLC进行编写。文中的梯形图使用西门子PC梯形图编译软件STEP7来进行编写,并完成了PLC外部接线图。利用PLC控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词:售货机;PLC;控制系统

1课题描述 (1) 2设计过程 (2) 2.1自动售货机的设计要求 (2) 2.2控制系统的I/O点及地址分配 (2) 2.3 PLC系统选型 (2) 2.4 PLC外围接线图 (5) 2.5系统程序设计 (6) 3总结 (9) 4参考文献 (10)

1 课题描述 自动售货机的概况 自动售货机(vending machine),能根据投入的钱币自动付货的机器。自动售货机是商业自动化的常用设备,它不受时间、地点的限制,能节省人力、方便交易。近几年,随着我国商品市场的不断繁荣和城市现代化程度的不断提高,自动售货机也已悄然步入了我国的大中城市。在国家相关政府部门的大力支持下,我国的自动售货机产业以超常规的速度迅猛发展,并带动了一批新的服务业、物流运输配送业的快速发展,丰富了我国的商业产业结构,开创了一个全新的自动销售和自助服务时代。我国自动售货机市场到2008年以后将进入发展期。 本文介绍一种能自动销售汽水和咖啡的售货机的PLC控制系统。主要从控制要求、控制系统的I/O点及地址分配、PLC系统选型、电气控制系统原理图、系统程序设计、PLC的安装、电源设计、系统的接地、PLC 输出端保护等方面来展开说明与论述。

基于PLC的自动售货机的设计说明

一、实训题目: 自动售货机控制 实训目的及要求: 1、掌握欧姆龙PLC的指令,具有独立分析和设计程序的能力 2、掌握PLC梯形图的基本设计方法 3、培养分析和解决实际工程问题的能力 4、培养程序设计及调试的能力 5、熟悉传输带控制系统的原理及要求 实训设备:PLC设备器 二、总体方案设计 自动售货机设计中,从控制角度来说可采用继电器控制、单片机控制和可编程控制器控制。 (一)方案论证 1.方案一继电器控制 它的控制思想是以继电器为核心,采用硬接线方式把各种继电器、定时器、接触器及其触点按照一定逻辑关系连接起来组成控制系统,控制相关生产机械工作。例如要通过接触器实现两台电机设备的同步运行,采用继电器控制,其控制系统简图如图1。 SF1 KF 电电 源源 母母 线线 图1 继电器控制系统简图

由图可知它的三条支路是并行工作的,当按下按钮SF1,中间继电器KF得电,KF的两个触点闭合,接触器QA1、QA2同时得电并产生动作,所以其控制逻辑关系为由继电器、接触器硬接线(硬件)方式。 2.方案二单片机控制 它的控制系统是基于芯片级的系统,设计开发一个单片机系统,需要先设计硬件系统,画硬件电路图,制作印刷电路板,购置各种所需的电子元器件,焊接电路板,进行硬件调试,进行抗干扰设计和测试的大量工作;需要使用专门的开发装置和低级编程语言编制控制程序,进行系统联调。 3.方案三可编程控制器控制 它的控制思想是采用可编程的存储器,用来在其部存储逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,并通过数字式和模拟式的输入和输出,控制各种类型机械的生产过程。PLC是一种工业控制计算机,故它的工作原理是建立在计算机工作原理基础之上,即通过执行反映控制要求的用户程序来实现的,这种方案的控制功能接线图如图2。

自动售货机设计说明书2015.3.3

唐山工业职业技术学院 毕业设计说明书 题目基于PLC的自动售货机控制系统的设计 系别自动化工程系班级机电班 姓名学号 指导教师 2015年 03月02日

目录 摘要 (3) 关键字 (3) 一、概述 (4) 1.1自动售货机设计目的和意义 (4) 1.2 PLC 在自动售货控制系统中的应用 (4) 1.3自动售货机实现的基本功能 (5) 二、功能需求分析 (6) 2.1课题研究背景 (6) 2.2自动售货机的应用与现状 (7) 2.3自动售货机的PLC控制设计原理 (7) 三、具体设计 (8) 3.1 PLC各I/O地址分配 (8) 3.2 PLC的选择 (9) 3.3自动售货机设计的基本内容 (10) 3.4 流程设计 (10) 3.5 PLC的外部接线图 (12) 3.6 自动售货机设计的梯形图 (12) 3.7 MCGS组态程序设计说明 (20) 3.8 调试结果、调试中出现的问题及解决方法 (20) 总结 (21) 参考文献 (22)

标题:基于PLC的自动售货机控制系统设计 摘要 本论文设计的是基于西门子S7-200系列PLC构成的自动售货机监控系统,本文阐述了自动售货机的来源与发展,以及它在国内外发展的现状,对自动售货机的方案进行了论证,介绍了PLC的基本组成、特点以及工作原理,对基于西门子S7-200系列PLC构成的自动售货机系统整体设计过程进行较为细致的论述。 文中详尽地介绍了自动售货机的组成部分,并完成了PLC外部接线图,在PLC梯形图的编写时,文中采用的是西门子PLC梯形图专用编译软件STEP7来进行编写。介绍了自动售货机的基本原理以及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。具体的说明了可编程控制器在自动售货机中的作用。 关键字:自动售货机程序PLC

自动售货机控制系统的设计(已修)

课程设计任务书 设计题目:自动售货机的PLC控制 学院:机械工程学院 班级:机检0811 组员:周详卞冰冰冯露瞿梦丹王雨吴晴指导老师:邓三鹏教授

一任务描述: 自动售货机是可完成无人自动售货的商业自动化设备,它不受任何场地限制,方便快捷,在我国也越来越普及。传统的自动售货机采用单片机作为控制核心,但这样在输入输出接口上消耗很大。PLC不但可实现类似控制功能,还具有可靠性高、编程简单、功能强、能耗低、调试方便等优点。本文设计的是售汽水和咖啡这两种饮料的自动饮料机,它的售货过程是:首先由顾客按下商品选择开关时,然后顾客投入的硬币(投入硬币的面值和剩余值由PLC驱动数码管显示)经过光传感器感应,再由光传感器驱动硬币识别传感器识别硬币(由于能力有限,在识别硬币上不做具体研究)。如果是无效币则使继电器打开阀门,将硬币退出;如果是真币则系统将硬币自动传送到相应的硬币贮币腔,并经硬币识别传感器将信号送给PLC。最后经顾客选择,同时PLC控制,使PLC的输出口上有相应的信号输出。若贮币腔内无硬币(红外检测器感应)、饮料的量位小于设定值(红外传感器感应)、售货机遭损,系统就报警【7】。大体运行如图2-1: 图2-1自动售货机控制系统硬件组成示意图

图2-2是自动售货机简单示意图。在该机中有两种已经配制好的饮料储液桶(未画出来),一种为汽水,另一种为咖啡。汽水出口和咖啡出口分别代表由两个电磁阀控制放入杯中的饮料品种的饮料出口。 咖啡指示灯投币不足指示灯 图2-2自动售货机简单示意图 二控制要求 (1)自动售饮料机可投入1角、5角、1元的硬币。 (2)所售饮料标价:汽水——2元,咖啡——3元。 (3)投币总额或现在值显示在7段数码管上。 (4)当投入的硬币总值超过所购饮料的标价时,所有可购买饮料的指示灯均亮,作可购买提示。(如:当投入的硬币总值超过2 元,汽水指示灯亮;当投入的硬币总值超过3元时,汽水、咖

自动售货机控制系统的设计

自动售货机控制系统的 设计 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

课程设计任务书设计题目:饮料自动售货机PLC控制系统设计 学院:机械工程学院 专业:机械测控 班级: 姓名: 组员: 指导老师:

【摘要】 本文介绍了自动售货机的基本原理以及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。具体说明了可编程序控制器在自动售货机中的作用。程序涉及到了自动售货机工作的绝大部分过程。利用PLC控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词:自动售货机;可编程序控制器;梯形图 Abstract:Thispaperintroducesthebasicprinciplesofvending machines,aswellasworkflow,andthentoatransactionprocessasan example,thetransactionprocessisdividedintoseveralblock,and thentheblockwereprogrammed.SpecifythePLCintheroleofvending machines.Proceduresrelatedtotheworkofthevastmajorityofvend ingmachineprocess.PLCcontroloftheuseofvendingmachinesenhan cethestabilityofthesystemtoensurethatvendingmachinescapabl eoflong-termstableoperation. Keywords:Vendingmachines;PLC;LadderDiagram 一.PLC的概述 PLC的产生 20世纪20年代起,人们把各种继电器,定时器,接触器及其触点按一定的逻辑关系连接起来组成控制系统,控制各种生产机械,这就是大家所熟悉的传统继电接触器控制系统。由于它结构简单。容易掌握,价格便宜,在一定范围内能满足控制要求,因而使用面

基于s7200自动售货机PLC控制

明书 专业指导老师 姓名学号 完成日期2018年6月10号

选题四、自动售货机PLC 控制 1.工作要求: 1)此售货机可投入5 角、1 元、5 元硬币。 2)所售饮料标价:可乐——2.50 元、橙汁——3.00 元、红茶——5.50 元、咖啡——10.00 元 3)当投入的硬币和纸币总价值超过所购饮料的标价时,所有可以购买饮料的指示灯均亮,作可购买提示。(如:当投入的硬币总价值超过2.5 元,可乐按钮指示灯亮;当投入的硬币总价值超过3 元,可乐、橙汁按钮指示灯均亮;当投入的硬币总价值超过10.00 元所有饮料按钮指示灯都亮)。 4)当饮料按钮指示灯亮时,才可按下需要购买饮料的按钮,购买相应饮料,(如:当可乐按钮指示灯亮时,按可乐按钮,则可乐排出10s 后自动停止,此时可乐按钮指示灯闪烁) 5)购买饮料后,系统自动计算剩余金额,并根据剩余金额继续提示可购买饮料(指示灯亮)。 6)若投入的硬币和纸币总价值超过所消费的金额时,找余指示灯亮,按下退币按钮,就可退出多余的钱。 7)系统退币箱中只备有5 角、1 元硬币,退币时系统根据剩余金额首先退出1 元硬币, 1 元硬币用完后,所有找余为5 角硬币。 表3 自动售货机控制信号说明

课程设计报告目录一、引言 1.1自动售货机研究的背景 1.2 自动售货机国内外发展现状 1.3 本课题研究的目的及意义 1.4 研究的主要内容 二、系统总体方案设计 2.1自动售货机硬件结构 2.2 PLC选型设计 2.3硬币的识别

2.4 纸币的识别 2.5电机的选择 2.6自动售货机出货结构三、控制系统设计 3.1自动售货机工作流程图 3.2自动售货机I/O分配 3.3 PLC外部接线图 3.4 PLC程序的设计 3.4.1钱币计数系统 3.4.2商品购买系统 3.4.3 找零系统 四、系统调试及结果分析 4.1仿真软件的操作设置 4.2仿真调试结果五.参考文献 一、引言 1.1自动售货机研究的背景 自动售货机的出现是自动化社会的产物。在社会消费水平迅速提高的今天,大量的购买消费可发生在任何时间任何地点。由于时间,地理位置的限制,给人们的购物带来了不便,为了满足商业的需求方便人们的购物消费自动售货机便出现在人们的生活中,自动售货机以其占地面积小,24 小时不间断工作,后

饮料自动售货机系统的分析与设计

饮料自动售货机系统的分析与设计 1.系统的分析 1.1 需求分析描述 自动售货机像磁卡电话、银行柜员机一样,以方便、新颖、文明、昼夜服务等特点,成为便民配套设施。如今的自动售货机可以为顾客提供多种服务。顾客可以根据自己的需要选择商品并投入钱币,售货机接收钱币,售出商品。 自动售货机是一种无人售货系统。售货时,顾客投入硬币,售货机进行真假币的检查,若是假币拒绝接收,若是有效硬币则进行累加。售货机装有若干个含有相同价格货物的货物分配器。顾客可以通过货物分配器选择货物,如果有被选货物,并且顾客支付的货币值不小于货物的价格,货物将被传送给顾客,同时余额返回到退币口。如果货币值小于货物价格,则等待投币,此时如果顾客取消本次活动,那么之前所投的货币将从退币口中退出,返回给顾客。 1.2 系统功能结构图 图1 体统功能结构图 1.3 用例图 图2 饮料自动售货系统用例图 1.4 系统类图的建立 系统的类图揭示了系统的整个结构。分析时先产生问题域中的对象,再抽象出类。在本系统中,类有:自动售货机、顾客、货币、货币累加器、货物、货物分配器、显示屏、取消按钮、选择按钮、事务、更新。 图3 饮料自动售货系统类图 1.5 动态模型的建立 从系统模型的角度,静态模型定义了系统的结构和组成。任何实际的系统都是活动的,都通过系统元素间的互动来实现系统。面向对象的许多方法在建立动态模型时首先写各种情况时的交互行为脚本,通过对脚本的分析描绘动态模型。脚本是用例的一次具体执行过程,一般包括正常情况脚本和异常情况脚本。系统的卖货物用例中,顾客投入硬币,系统检查硬币的有效性,然后对有效硬币进行累加,顾客选择货物及其数量,系统送出货物;这系列步骤表示正常情况脚本。异常脚本为:顾客投入有效硬币,然后选择货物,若货物量不够,系统将投入的硬币从退币口退出。在UML中,可以利用序列图来表示脚本。下面是卖货物用例的一次具体交互的序列图。 图4 自动卖货物的顺序图 由于本系统中,自动售货机本身的状态比较复杂,因此建立其状态图。 图5 饮料自动售货机状态图 2.系统的设计 2.1 数据库设计 图6 数据库图 数据库的描述:钱币有面值和数量两种属性,其中,面值是主属性。送货员有用户名和密码两种属性,其中用户名是主属性,当添加新的送货员时,如果用户名已经存在,则会出错。饮料有类型、数量和价格三种属

PLC控制自动售货机设计毕业论文(优秀)

自动售货机的系统设计 题目: PLC控制自动售货机专业:机电一体化 班级: 姓名: 学号: 指导老师:

PLC控制自动售货机 摘要 本文介绍了自动售货机的基本原理以及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。具体说明了可编程序控制器在自动售货机中的作用。程序涉及到了自动售货机工作的绝大部分过程。利用PLC控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词自动售货机;可编程序控制器;

目录 .绪论 (1) .1自动售货机的介绍 (4) .1.1自动售货机功能分析 (4) .1.1.1自动售货机的基本功能 (5) 1.2 PLC的基本结构及原理................................................................................................. (5) .1.3 PLC的选型原则 (5) .2PLC系统设计............................................................................................ .7.2.1可编程序控制系统设计的基本原则 (7) .2.1.1控制系统设计原则 (7) .2.1.2控制系统设计的基本内容 (7) .2.1.3控制系统设计的一般步骤........................................................................ .8.2.1.4程序设计的步骤. (8) .3自动售货机PLC程序设计 (10) .3.1仿真实验中售货机的分析 (10) .3.2设计任务的确定 (10) .3.3程序设计部分 (11) .3.3.1程序设计说明 (11) .3.3.2PLC程序设计 (11) .3.4仿真界面与PLC (20) .3.5数据连接 (24) .3.5.1定义I/O设备 (24) .3.5.2设计 (26) .3.5.3运行 (29) .4结束语 (29) ·参考文献……………………………………………………………………………………… .30 绪论 从自动售货机的发展趋势来看,它的出现是由于劳动密集型的产业构造向技术密集型社会转变的产物。大量生产、大量消费以及消费模式和销售环境的变化,要求出现新的流通渠道;而相对的超市、百货购物中心等新的流通渠道的产生,人工费用也不断上升;再加上场地的局限性以及购物的便利性等这些因素的制约,无人自动售货机作为一种必须的机器便应运而生了。 从广义来讲投入硬币、纸币、信用卡等后便可以销售商品的机械,从狭义来讲就是自动销售商品的机械。从供给的条件看,自动售货机可以充分补充人力资源的不足,适应消费环境和消费模式的变化,24小时无人售货的系统可以更省力,运营时需要的资本少、面积小,有吸引人们购买好奇心的自身性能,可以很好地解决人工费用上升的问题等各项优点。 现在,自动售货机产业正在走向信息化并进一步实现合理化。例如实行联机方式,

自动售货机控制系统

毕业设计(论文) 题目:PLC自动售货机控制设计专业:电气自动化 班级:07高职电气自动化<1>班学号:070705109 姓名: 叶暖暖 指导老师:方小明 徐州机电工程高等职业学校电气工程系 二〇一〇年三月

摘要 自动售货机是可完成无人自动售货的商业自动化设备,它不受任何场地限制,方便快捷,可以每天24h售货,在我国也越来越普及。传统的自动售货机采用单片机作为控制核心,但这样在输入输出接口上消耗很大。PLC不但可实现类似控制功能,还具有可靠性高、编程简单、功能强、能耗低、调试方便等优点。本款售货机是基于汽水和咖啡的自动售货设计的。 论文以三菱PLC设计自动售货机控制系统,简要介绍PLC各硬件选型,软件的设计,PLC 梯形图的编写和系统的调试方法。 关键词:自动售货机;PLC;调试

目录 摘要 0 目录 ............................................................................... II 第1章绪论.. (1) 第2章自动售货机的工艺流程 (1) 第3章自动售货机的硬件选型 (3) 3.1红外线传感器 (3) 3.2红外检测器 (3) 3.3红外传感器 (4) 3.4数码管显示 (4) 3.5敲击防盗报警器 (5) 3.6硬币识别原理 (6) 3.7硬币的贮存和退币机构 (7) 第4章自动售货机的软件设计 (7) 4.1控制要求 (7) 4.2方案设计 (8) 4.3控制接线图 (9) 4.4I/O口分配 (10) 4.5控制流程图 (10) 4.6程序设计 (11) 4.6.1计币部分 (12) 4.6.2比较部分 (12) 4.6.3选择和饮料供应部分 (13) 4.6.4余额计算部分 (13) 4.6.5退币部分 (13) 4.6.6报警部分 (15) 第5章梯形图程序调试 (15) 结束语 (16) 致谢 (17) 参考文献 (18) 附录 (19)

相关文档
最新文档