一种基于VHDL语言的全数字锁相环的实现
彭胜-基于VHDL的电子密码锁设计与实现正文

1 引言电子密码锁的使用体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。
目前设计密码锁的方法很多,例如用传统的PCB 板设计、用PLC 设计或者用单片机设计。
而用V HDL 可以更加快速、灵活地设计出符合各种要求的密码锁,优于其他设计方法,使设计过程达到高度自动化。
本设计在Max + plus Ⅱ的环境中进行,用Al2tera 公司ACEX 1 K系列的EP1 K30 TC14423 来实现。
ACEX 1 K是Altera 公司着眼于通信、音频处理及类似场合的应用而推出的FPGA 器件芯片系列,其典型门数为10 万门,是当今Altera 多种产品中应用前景最好的器件系列之一。
EDA 技术设计电子系统具有用软件的方式设计硬件;设计过程中可用有关软件进行各种仿真,系统可现场编程、在线升级,整个系统可集成在一个芯片上等特点;不但设计周期短、设计成本低,而且将提高产品或设备的性能,缩小产品体积、提高产品的技术含量,提高产品的附加值。
用VHDL设计电子密码锁方案:作为通用电子密码锁,主要由3 个部分组成:数字密码输入电路、密码锁控制电路和密码锁显示电路,作为电子密码锁的输入电路。
可供选择的方案有数字机械式键盘和触摸式数字键盘等多种。
(1)密码锁输入电路包括时序产生电路、键盘扫描电路、键盘弹跳消除电路、键盘译码电路等几个小的功能电路。
(2)密码锁控制电路包括按键数据的缓冲存储电路,密码的清除、变更、存储、激活电锁电路(寄存器清除信号发生电路),密码核对(数值比较电路),解锁电路(开/关门锁电路)等几个小的功能电路。
(3)七段数码管显示电路主要将待显示数据的BCD码转换成数码器的七段显示驱动编码。
1.1 课题背景随着社会的发展和人们生活水平的提高,人们的安全意识也逐步加强。
传统的机械锁由于其构造的简单,失效的事件屡见不鲜,如何实现保密防盗这一问题变的尤其的突出,密码锁以其安全性高、成本低、功耗低、易操作等优点受到越来越多人的欢迎。
全数字锁相环的设计及分析

全数字锁相环的设计及分析蒲晓婷【摘要】提出了一种利用FPGA设计一阶全数字锁相环的方法.首先详细论述了全数字锁相环的构成,分析了各个模块的工作原理,接着利用VHDL语言完成各个模块的设计,并给出了工作时序图,最后在理论分析的基础上建立了一阶全数字锁相环的数学模型.仿真实验验证了这种全数字锁相环实现的可行性,实验结果与理论分析基本一致.【期刊名称】《现代电子技术》【年(卷),期】2008(031)005【总页数】4页(P173-175,178)【关键词】全数字锁相环;FPGA;VHDL;数学模型【作者】蒲晓婷【作者单位】西安微电子技术研究所,陕西,西安,710075【正文语种】中文【中图分类】TN9141 引言锁相环[1]是一种能使输出信号在频率和相位上与输入信号同步的电路,即系统进入锁定状态(或同步状态)后,震荡器的输出信号与系统输入信号之间相差为零,或者保持为常数。
传统的锁相环各个部件都是由模拟电路实现的,一般包括鉴相器(PD)、环路滤波器(LF)、压控振荡器(VCO)三个环路基本部件。
随着数字技术的发展,全数字锁相环ADPLL(All Digital Phase-Locked Loop)逐步发展起来。
所谓全数字锁相环,就是环路部件全部数字化,采用数字鉴相器、数字环路滤波器、数控振荡器构成锁相环路,并且系统中的信号全是数字信号。
与传统的模拟电路实现的锁相环相比,由于避免了模拟锁相环存在的温度漂移和易受电压变化影响等缺点,从而具备可靠性高、工作稳定、调节方便等优点。
全数字锁相环的环路带宽和中心频率编程可调,易于构建高阶锁相环,并且应用在数字系统中时,不需A/D及D/A转换。
在调制解调、频率合成、FM立体声解码、图像处理等各个方面得到广泛的应用。
随着电子设计自动化(EDA) 技术的发展,可以采用大规模可编程逻辑器件(如CPLD 或FPGA) 和VHDL语言来设计专用芯片ASIC 和数字系统。
本文完成了全数字锁相环的设计,而且可以把整个系统嵌入SOC ,构成片内锁相环。
基于VHDL的超前-滞后型数字锁相环设计

Ab ta t Th h s -o k d l o ee ti ic i t k s a k n f i p ra t u c in i c mm u ia in,n v g t n, src : e p a e l c e o p lc rc cr ut a e i d o m o t n f n t n o o nc t o a iai o
Pa g Cu s o Ha n n n u n Ya
( t n lKe b a o yo e to cTe tn c n o y No t nv r iyo ia,Tay a 3 0 1) Nai a y La ort r fElcrni sig Te h olg , rh U iest fChn o iu n0 0 5
c m p s i n mo u e a o t t e p a e l c o p cr u t a d a o i d t e V HDL p o e u e f r c mmu ia i n o o i o d l b u h h s - k 1 o ic i , n h s c mp l h t o e r cd r o o n c to . M e n i ,tc mp e e h o ia n u f h s -o k d l o s s e t a sa in, n u ci n smu a in As ar s l , a wh l i o lt s t e lg c l p t a e l c e p, y t m r n l t e i o p o o a d f n t i lt . o o e u t
…
0 引
言
J 量 为条 件的后验 概率 密度 函数为 : 矢
随着数 字 电路技术 的发 展 , 得 通 信 与控 制方 面 一些 使
数字锁相位同步提取的VHDL实现

数字锁相位同步提取的VHDL实现
詹鹏;郭勇;赖武刚;蔡顺燕
【期刊名称】《微计算机信息》
【年(卷),期】2007(023)020
【摘要】本文设计了一种在数字通信系统中的数字锁相位同步提取方案,详细介绍了本设计的位同步提取原理及其各个组成功能模块的VHDL语言实现.并在Quartus Ⅱ开发平台上仿真验证通过.本设计采用VHDL语言编程且在FPGA芯片上实现.具有可移植性好、体积小、低功耗、可靠性高、方便维护和升级等优点,增强了系统的可靠性和稳定性.经验证该位同步提取设计方案能够快速的提取位同步时钟,稳定性好.
【总页数】3页(P180-181,167)
【作者】詹鹏;郭勇;赖武刚;蔡顺燕
【作者单位】610059,四川成都,成都理工大学;610059,四川成都,成都理工大学;610059,四川成都,成都理工大学;610059,四川成都,成都理工大学
【正文语种】中文
【中图分类】TP311;TN919
【相关文献】
1.数字锁相法实现位同步 [J], 殷明
2.数字锁相环在位同步提取中的应用 [J], 伍建辉;李雅梅;苏小敏
3.数字锁相环提取位同步信号的改进与实现 [J], 何文青;宋春林;董航;周英华
4.一种快速位同步的VHDL实现 [J], 麦文;鲍景富
5.一种快速提取位同步的全数字锁相环 [J], 竺南直;刘琪
因版权原因,仅展示原文概要,查看原文内容请购买。
一种可编程全数字锁相环的设计与实现

一种可编程全数字锁相环的设计与实现李凤华;刘丹丹;单长虹【摘要】针对传统的全数字锁相环电路参数不可调、锁相速度慢及锁相范围窄的缺点,提出了一种可编程全数字锁相环.采用电子设计自动化技术完成了该系统设计,并对所设计的电路进行了计算机仿真与分析,最后,采用FPGA予以硬件电路的实现;系统仿真与硬件实验证明,该锁相环中数字滤波器和数控振荡器的参数可以自主设定,改变数字滤波器的参数可加快锁相速度,改变数控振荡器的参数可扩大锁相范围;该锁相环具有锁相速度快、锁相范围宽、电路结构简单、参数设计灵活和易于集成等优点,可适用于许多不同用途的领域.【期刊名称】《计算机测量与控制》【年(卷),期】2016(024)001【总页数】4页(P243-245,248)【关键词】全数字锁相环;电子设计自动化;计算机仿真;可编程【作者】李凤华;刘丹丹;单长虹【作者单位】南华大学电气工程学院,湖南衡阳421001;南华大学电气工程学院,湖南衡阳421001;南华大学电气工程学院,湖南衡阳421001【正文语种】中文【中图分类】TB114.3锁相环路已在模拟和数字通信等各个方面得到了较为广泛的应用,比如其同步特性就保证了通信中系统的稳定性[1]。
随着集成电路的发展,部分模拟锁相环也渐渐被数字锁相环取代[2]。
与模拟锁相环相比,数字锁相环易于集成、可靠性高、设计方便、价格优廉,并且有很强的通用性,克服了模拟锁相环中对温度敏感、直流零点漂移、抗干扰性能差和无法嵌入SOC构成片内锁相环系统等缺点[3-4]。
但现有的一些全数字锁相环的锁相范围窄、用途单一、通用性不强。
且设计方案复杂,修改电路参数不易。
对于用于不同用途的锁相环而言,需要重新进行电路系统的设计,若要满足锁相系统的锁相速度和锁相范围等方面的性能指标要求,整个设计过程就比较复杂[5-6]。
本文提出了一种可编程的全数字锁相环,介绍了它的系统结构、工作原理及各分模块的设计方案。
利用Quartus II软件工具对电路系统进行了仿真验证,并根据仿真结果对电路参数的变化对锁相系统的影响进行了分析。
文献综述-基于VHDL的全数字锁相环的设计

东海科学技术学院毕业设计(论文)文献综述题目:基于VHDL的全数字锁相环的设计系:机电工程系学生姓名:专业:班级:指导教师:起止日期:文献综述一、前言随着数字技术的不断发展和计算机的普及应用,全数字锁相环ADPLL ( All Digital Phase-Locked Loop)和电子设计自动化EDA(Electronic Design Automation)技术在通信、雷达、测量、医学、工业自动化、计算机应用、仪器仪表和自动化控制等领域得到了广泛的应用。
全数字锁相环(ADPLL),是指环路部件全部数字化,采用数字鉴相器、数字环路滤波器、数控振荡器构成锁相环路,并且系统中的信号全是数字信号。
具备可靠性高、工作稳定、调节方便等优点。
它的环路带宽和中心频率编程可调,易于构建高阶锁相环,并且应用在数字系统中时,不需A/ D 及D/ A 转换[ 1]。
电子设计自动化(EDA)是一种实现电子系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展密切相关,吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,是20世纪90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。
EDA技术就是以计算机为工具,在EDA软件平台上,根据硬件描述语言HDL完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局线、仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作[ 11]。
设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDA工具的帮助下和应用相应的FPG刀CPLD器件,就可以得到最后的设计结果。
尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。
当然,这里的所谓EDA主要是指数字系统的自动化设计,因为这一领域的软硬件方面的技术已比较成熟,应用的普及程度也已比较大。
基于VHDL语言的数字锁相环的设计与实现
文章编号:!""#$%$&(’""()"’""&(")基于*+,-语言的数字锁相环的设计与实现!董介春,李万玉(青岛大学自动化工程学院,山东青岛’##"%!)摘要:为了改善数字通信系统的同步性能,保证系统工作稳定、可靠,对锁相环电路进行了研究。
在分析模拟锁相环缺点的基础上,介绍了数字锁相环的工作原理,并用*+,-语言对该系统进行了设计,给出了数字锁相环电路.个主要模块的设计过程及仿真结果,得到了该系统的顶层电路。
实验及仿真结果表明,数字锁相环是解决同步问题的重要措施之一。
关键词:*+,-;/-,;数字锁相环中图分类号:01$!!2&文献标识码:3同步的技术基础是锁相,因而锁相技术是通信中最重要的技术之一。
锁相就是利用输入信号与输出信号之间的相位误差来自动调节输出信号的相位,使之达到与输入信号的相位一致,或保持一个很小的相位差,从而实现自动调节的功能[!]。
锁相技术现已广泛应用于电子技术的各个领域,特别是在数字通信的调制解调、位同步、频率合成中常常要用到各种各样的锁相环。
最初的锁相环全部由模拟电路组成,由于模拟锁相环存在温度漂移、电网电压的影响等缺点,给系统的同步调节带来困难。
随着大规模、超大规模数字集成技术的发展,模拟锁相环逐渐被数字锁相环所取代。
#,其输出频率为"$5#$!!!"4#其中,!!为输入信号*!与输出信号*’的相位差;"4为环路的中心频率。
数控振荡器的输出频率为"’5"!6#$!!!"4#%由于锁定的极限范围为#$!!57!,所以得到环路的捕捉带!"89:5"’89:;"!5!"4#%当环路锁定时,"’5"!,系统稳态相位误差!!(<)5%#("’;"!)#$!"4可见,只要合理选择#值,就能使输出信号*’的相位较好地跟踪输入*!的相位,以达到锁定的目的。
全数字锁相环的VHDL设计【开题报告】
开题报告专业:电子信息工程全数字锁相环的VHDL设计一、综述本课题国内外研究动态,说明选题的依据和意义锁相技术是一种实现相位自动控制的方法,是专门研究相位的技术。
利用锁相技术得到的锁相环PLL是一个闭环的相位自动控制系统,它的输出信号能够自动跟踪输入信号的相位变化,也可以将之称为一个相位自动跟踪系统,它能够自动跟踪两个信号的相位差,并且靠反馈控制达到自动调节输出信号相位的目的。
锁相环的研究一直是学术界的一个研究重点,由于条件所限,国内对于锁相环的研究主要停留在理论方面,高性能锁相环的产品基本基本依赖进口。
而在国外,锁相环技术则在不断发展,从最初采用分离器件到采用集成电路,从采用双极工艺到使用CMOS 工艺,从需要挂电阻和电容到锁相环完全集成在一块芯片上,并且作为嵌入式IP核应用在大的数字系统中。
随着ASIC芯片电源电压下降,使得电源电压与核心薄氧化器件的阈值电压相比裕量有限从而使模拟电路设计,尤其是低噪声低电压锁相环的设计变得非常困难。
因而,当前锁相环的设计关键集中在高速、低电压、低噪声方面。
目前国外的锁相环产品大多采用3.3V电源电压的CMOS工艺,工作频率可从100MHz一直达到2.4GHz,输出噪声(周期到周期)在几十皮秒左右。
VHDL语言的英文全写是:VHSIC(Very High Speed Integrated Circuit)Hardware Description Language.翻译成中文就是超高速集成电路硬件描述语言。
因此它的应用主要是应用在数字电路的设计中。
其是一种行为描述语言, 其编程结构类似于计算机中的C 语言, 在描述复杂逻辑设计时, 非常简洁,具有很强的逻辑描述和仿真能力,是未来硬件设计语言的主流。
基于VHDL 语言的数字锁相环设计,不仅简化了硬件的开发和制作过程,而且使硬件体积大大减小,并提高了系统的可靠性。
该方法可以在不修改硬件电路的基础上,通过修改设计软件、更改移相范围就可满足不同用户的需要。
全数字锁相环原理及应用
全数字锁相环原理及应用2011年11月18日摘要:锁相环是一种相位负反馈系统,它能够有效跟踪输入信号的相位。
随着数字集成电路的发展,全数字锁相环也得到了飞速的发展。
由于锁相精度和锁定时间这组矛盾的存在使得传统的全数字锁相环很难在保证锁定时间的情况下保证锁定精度。
鉴于此,本文对一些新结构的全数字锁相环展开研究,并用VHDL语言编程,利用FPGA仿真。
为解决软件无线电应用扩展到射频,即射频模块软件可配置的问题和CMOS工艺中由于电压裕度低、数字开关噪声大等因素,将射频和数字电路集成在一个系统中设计难度大的问题,本文尝试提出数字射频的新思路。
全数字锁相环是数字射频中最重要的模块之一,它不仅是发射机实现软件可配置通用调制器的基础,还是为接收机提供宽调频范围本振信号的基础。
本文针对数字射频中的数字锁相环的系统特性以及其各重要模块进行了研究。
关键词:全数字锁相环;锁定时间;锁定精度;PID控制;自动变模控制;数控振荡器;时间数字转换器;数字环路滤波器;FPGA;Principle and Application of all-digital phase-locked loopAbstract: Phase-Locked Loop is a negative feedback system that can effectively track the input signal’s phase. With the development of digital integrated circuits, all-digital phase-locked loop has also been rapidly developed. Because of the contradiction between the existence of phase-locked precision and phase-locked time, it makes the traditional all-digital phase-locked loop difficult to ensure the lock time meanwhile as well as phase-locked precision. So some new structures of all-digital phase-locked loop are analyzed in this paper and programmed in VHDL language with simulation under FPGA.In order to extend the application from radio to RF, which including RF modules software configurable problems and the difficulty to integrate RF and digital circuit in one system due to some factors contain the low voltage and large noise of the digital switches etc. This paper will try to put out a new thought for digital RF. All-digital phase-locked loop is one of the most important modules in digital RF. It is not only the foundation of transmitter which can be realized by software configurable general modulator, but also the foundation of receiver which can be provided wide range of local vibration signal. This paper particularly makes a study of the system character of tall-digital phase-locked loop and its vital modules.Keywords: ADPLL; Locked time; Locked precision; PID control; Auto modulus control; DCO;TDC; Digital Loop Filter; 1. 引言锁相环路是一种反馈控制电路,锁相环的英文全称是Phase-Locked Loop,简称PLL。
雷达系统中基于VHDL的改进型全数字锁相环
雷达系统中基于VHDL的改进型全数字锁相环【摘要】:介绍了锁相环的应用,工作原理及发展前景,对传统的锁相环进行改善,新的锁相环主要改善了传统锁相电路中鉴频鉴相范围低,计数器的模数范围变化窄,模数范围改变不灵活等缺点,并将其应用在雷达系统中,应用VHDL 技术进行锁相环路各部件的仿真,从仿真结果可以明显的看出改善后的锁相环的优越性。
【关键词】:全数字锁相环路; 数字鉴相器; 数字环路滤波器; 数控振荡器; N 模计数器; VHDL语言1. 引言锁相技术可广泛应用于广播电视、雷达通信、抑止电网干扰、时钟同步等领域。
集成锁相环电路在跟踪滤波、调制解调、频率合成、载波同步、位同步、立体声解码、电机调速稳速、锁相接收机、相移器、频率变换、同步滤波、自动跟踪调谐、微波锁相频率等方面有着广泛的应用。
目前,国内外通用与专用集成锁相环电路已经有数百个品种。
例如NE560系列,XR-S200 系列等。
CD4046工作频率小于1.2MHz,属于低频,电源电压为5V-15V,是低功耗数字CMOS数字环。
它的同类产品为MC14046和CC4046。
NE564的工作频率高达50MHz,属于超高频。
μPC1477C主要用作卫星直播接收机锁相解调器,工作频率高达600MHz。
在雷达系统中,扫描频率的非线性直接影响到测量精度。
采用振荡器的特征曲线使用线性化补偿,这种补偿可以校正非线性的98%,对于更高精度的测量要求,必须采用瞬时频率控制,这种频率控制回路称为锁相环,即雷达发射频率在短时间间隔内连续调整,在毫秒数量级内跟踪设定点频率,接收的频率直接转化为数字值,接收器的混频器自动锁定正确的频率。
2. 环路部件设计锁相环路是一个能够跟踪输入信号相位的闭环自动控制系统,是由鉴相器、环路滤波器和压控振荡器三个基本部分组成的一个负反馈环路,用于实现两个信号的相位同步。
文章所设计的锁相环路在大体结构上与上述相同,但在内部结构中做了改变,加入了在市场上可以容易购买的器件,在功能作用上也得到了改善,并将其应用到雷达系统中,每一个部件都进行了仿真。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
《电子技术应用》2009年第4期图1数字锁相环原理框图鉴相器信号输入位同步信号输出N 分频器相位误差信号K 模输入K 变模可逆计数器进位脉冲借位脉冲脉冲形成脉冲加减器随着集成电路技术的不断进步,数字化应用逐渐普及,在数字通信、电力系统自动化等方面越来越多地运用了数字锁相环。
它的好处在于免去了模拟器件的繁琐,而且成本低、易实现、省资源。
本文综合以上考虑,在一片FPGA 中以Quartus II 为平台用VHDL 实现了一个全数字锁相环功能模块,构成了片内锁相环。
数字锁相环是一种相位反馈控制系统。
在数字锁相环中,由于误差控制信号是离散的数字信号而不是模拟信号,与之相对应,受控的输出相位的改变是离散的而不是连续的;此外,环路组成的部件也全由数字电路实现,故名“数字锁相环”[1]。
常用的数字锁相环原理如图1所示。
1数字锁相环的结构及工作原理如图1所示,数字锁相环主要由鉴相器、K 变模可逆计数器、脉冲加减器、N 分频器构成。
鉴相器其实就是一个异或门,它将输入信号Din 与位同步脉冲输出信号Dout 相异或,比较它们之间的相位差,并输出相位误差信号作为K 变模可逆计数器的计数方向的控制信号CS 。
当环路锁定时,这个控制信号为占空比是50%的方波。
K 变模可逆计数器根据相差信号CS 的变化,向不同的方向计数。
当CS 为逻辑“1”时,计数器向下计数,如果计到0,则输出一个借位脉冲给脉冲加减器;当CS 为逻辑“0”时,计数器向上计数,如果计到模值,则输出一个进位脉冲给脉冲加减器。
脉冲加减器是根据K 变模可逆计数器输出的进位、借位脉冲来不断地对本地时钟进行调整。
当有进位脉冲时,脉冲加减器就在本地时钟上加入一个周期的时钟信号;当有借位脉冲时,脉冲加减器就在本地时钟上扣除一个周期的时钟信号。
如此反复不断地对本地时钟进行调整,最终达到准确确定出输入信号时钟的目的,从而实现位同步[2]。
N 分频器是将脉冲加减器输出的经过调整以后的一种基于VHDL 语言的全数字锁相环的实现范寒柏1,陶杰1,王欣2(1.华北电力大学电力学院,河北保定071003;2.河北大学电信学院,河北保定071003)摘要:介绍一种基于VHDL 语言的全数字锁相环实现方法,并用这种方法在FPGA 中实现了全数字锁相环,作为信号解调的位同步模块。
关键词:VHDL ;全数字锁相环;位同步;FPGA中图分类号:TN79文献标识码:ARealization of all-digital PLL based on VHDLFAN Han Bai 1,TAO Jie 1,WANG Xin 2(1.North China Electric Power University ,Baoding 071003,China ;2.College of Electronic &Information Engineering,Hebei University ,Baoding 071003,China )Abstract :An implementation method of digital phase -locked loop based on VHDL was introduced deeply in this pa -per.And according to this methodwe completed the digital phase-locked loop in FPGA as our bit synchronization module of signal demodulation.Key words :VHDL ;digital phase locked loop ;bit-synchronization ;FPGA63时钟信号进行分频,以减小同步误差。
N值越大得到的同步误差越小。
2数字锁相环各部分的VHDL实现K变模可逆计数器根据预设模值Kmode来设置对应的模值寄存器的值,也即当预设模值范围在0~15变化时,与之相对应的Ktop的变化范围为20~215。
模值的大小直接决定了数字锁相环锁定时间的长短,模值越大锁定时间越长,反之越小。
但锁定时间越长,锁定时的相位误差越小,反之越大。
这部分的VHDL设计程序[3]如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity kjsq isport(clk,se:in std_logic--系统时钟、鉴相器输出加减控制信号kmode:buffer std_logic_vector(3downto0);--计数器模值设置信号carry:out std_logic;--进位脉冲输出信号borrow:out std_logic--借位脉冲输出信号);end kjsq;architecture behav of kjsq issignal count1:std_logic_vector(16downto0);--可逆计数器signal count2:std_logic_vector(16downto0);signal ktop:std_logic_vector(16downto0);--预设模值寄存器begincom0:process(clk)--此进程根据计数器模值设置信号来设置预设模值寄存器的值begincase kmode iswhen″0001″=>ktop<=″00000000000000111″;when″0010″=>ktop<=″00000000000001111″;when″0011″=>ktop<=″00000000000011111″;……when″0111″=>ktop<=″01111111111111111″;when″1111″=>ktop<=″11111111111111111″;when others=>ktop<=″00000000000001111″;end case;end process com0;com1:process(clk)--此进程根据加减控制信号se进行可逆计数器的加减运算beginif(clk′event and clk=′1′)thenif se=′0′then count2<=ktop;if(count1=ktop)then carry<=′1′;count1<=″00000000000000000″;else carry<=′0′;count1<=count1+1;end if;end if;if se=′1′then count1<=″00000000000000000″;if(count2>″00000000000000000″)then borrow<=′0′;count2<=count2-1;else borrow<=′1′;count2<=ktop;end if;end if;end if;end process com1;end behav;有了K变模可逆计数器产生的进位、借位脉冲,脉冲加减器就可以按照这两种脉冲对本地高频时钟进行不断地调整,如图2所示。
N分频器的设计相对简单,其功能是将脉冲加减器输出的经过了调整的本地时钟进行N分频,以减小同步脉冲输出误差。
本例暂时用32分频代替,其VHDL设计程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fpq32isport(clki:in std_logic;clko:out std_logic--输出信号);end fpq32;architecture behav of fpq32issignal con:std_logic;beginprocess(clki)variable cnt:integer range0to32;constant modulus1:integer:=16;beginif(clki'event and clki=′1′)thenif cnt=modulus1thencnt:=0;con<=not con;clko<=con;end if;cnt:=cnt+1;end if;end process;end behav;按照图1数字锁相环原理框图的设计理念,设计出各个主要的功能块,并将它们各自进行仿真。
在确认无误后再连接起来进行综合仿真,以验证设计的正确性。
在综合仿真的过程中一个最关键的问题就是分析各个功能块的时序关系、频率关系。
64《电子技术应用》2009年第4期欢迎网上投稿图2脉冲加减器的内部结构3频率关系、时序分析假设输入信号的频率为f i=1200Hz,则位同步输出信号频率f o=1200Hz,脉冲加减器输出信号频率f m2=N×f o,则其时钟频率f m1=2×f m2;K变模可逆计数器工作时钟可设为M f o。
这里的M、N值一般均为2的整数次幂,他们之间的具体关系需根据f i确定。
综合仿真的时序图如图3所示。
由图3可以看出,CS控制信号逐渐变成了占空比为50%的方波,这也从侧面反映出了锁定过程。
当锁相环锁定后,信号CODEIN出现连“0”和连“1”时,也能准确实现位同步。
锁定时间约为15.8ms。
通过以上仿真,验证了设计的正确性。
对于不同的设计,只需要计算好频率关系、修改一下N分频器的N值,就可以实现不同输入信号的同步。
本文介绍的这种以VHDL语言实现的全数字锁相环,是在ALTERA公司的Cyclone系列FPGA—EP1C12Q240C8上实现的。
它仅仅需要FPGA的95个逻辑单元,对于拥有12060个逻辑单元的EP1C12来说,消耗的资源微乎其微,但却是信号的差分相干解调过程中不可或缺的重要部分。
通过以上方法介绍、仿真的实现,再一次体现了用硬件描述语言在可编程器件中实现数字电路的优越性。
参考文献[1]胡春华.数字锁相环路原理与应用[M].上海:上海科技出版社,1990.[2]Phase Locked Loop(PLL)in High Speed Designs.Lattice Semiconductor Corporation[J],AN8017~01,1997.[3]候伯亭,顾新.VHDL硬件描述语言与数字逻辑电路设计[M].西安:西安电子科技大学出版社,2003.(收稿日期:2008-11-04)65《电子技术应用》2009年第4期。