基于CPLD的秒表设计

基于CPLD的秒表设计
基于CPLD的秒表设计

课程设计说明书(本科)

题目: 基于CPLD/FPDA数字秒表设计

姓名: 赵超尚晓亮王浩

专业: 电子信息工程

班级: 08级2班

2011年6 月21 日

目录

摘要 (3)

第一章设计任务和目的 (3)

1.1设计任务 (3)

1.2设计目的 (3)

1.3设计中所用工具 (3)

第二章 EDA、CPLD及VHDL语言概述 (4)

2.1EDA概述及开发工具 (4)

2.1.1EDA技术概述 (4)

2.1.2EDA技术工具 (4)

2.1.3PCB设计软件 (5)

2.2CPLD概述及开发工具 (5)

2.2.1CPLD技术概述 (5)

2.2.2CPLD开发工具 (5)

2.3VHDL语言概述及特点 (6)

2.3.1语言概述 (6)

2.3.2VHDL语言特点 (7)

第三章总体设计的方案 (7)

3.1 总体设计思想 (7)

3.2 总体硬件设计方案 (8)

3.3 总体软件设计方案 (9)

第四章软件设计及仿真 (10)

4.1 10分频模块程序设计及仿真 (10)

4.21000分频模块程序设计及仿真 (11)

4.3七段数码译码程序及仿真 (12)

4.4100分之1秒模块程序设计及仿真 (14)

4.5输出扫描模块程序设计及仿真 (16)

4.6分钟模块程序设计及仿真 (18)

4.7分钟模块程序设计及仿真 (19)

第五章基于AT89C51单片机的表设计 (21)

5.1 秒表硬件总电路 (21)

5.2系统仿真及调试 (22)

第六章总结 (24)

6.1总结 (24)

6.2收获 (24)

参考文献 (25)

附录一:单片机秒表汇编源程序 (25)

基于CPLD/FPDA数字秒表设计

摘要:

本次EDA课程设计硬件器件基于CPLD,软件程序基于VHDL语言,仿真采用Quartus Ⅱ5.0,制作一个秒表。电路原理图的制作使用了Protel 99 se,软件设计思想从底层到顶层依次调试仿真。并写出了每一部分的源程序和时序仿真图。另外本设计中还基于Protues用AT89C51制作了一个秒表,将CPLD和单片机进行比较。

关键词:EDA CPLD VHDL Quartus Ⅱ5.0 Protues AT89C51 秒表

第一章设计任务的目的

1.1设计任务

设计并实现数字秒表。下载芯片:Altera的MAX3000系列EPM3256ATC144-10。电子秒表具有以下基本功能:

1.具有秒表计时显示功能,最大计数99.9,分辨率0.1秒(基本功能);

2.具有计时启动与停止功能(基本功能);

3. 可以实现上次计时数据的调出显示功能(扩展功能);

1.2设计目的

1.掌握可编程逻辑器件的基本原理及利用EDA开发工具QuartusII5.0(Max+plusII)进行可编程逻辑器件设计的方法;

2.熟练掌握可编程逻辑器件的原理图层次化设计方法;

3.掌握利用QuartusII5.0(Max+plusII10.2)进行软件仿真及对可编程逻辑器件进行硬件下载的方法。

4.熟悉实际工程项目开发的流程与设计思想;

1.3设计中所用工具

本设计硬件是基于CPLD/FPGA芯片制作一个简单的数字秒表,具体实现是用CPLD/FPGA 开发硬件实验系统上的EPM3254ATC144-10芯片作主控模块,显示模块用8个七段数码管显示,实验开发板一有很多的时钟信号,本实验中所用的1MHz时钟可从开发板上很容易获得。开发软件是基于QuartusⅡ5.0,它继承了MAX-plusⅡ所有优点,是更加完善的PLD设计工具。它提供了完整的多平台设计环境,能够直接满足特定设计需要,为可编程芯片系统(SOPC)提供全面的设计环境,将为Altera的DSP模块进行系统模型设计提供了集成综合环境。它可以完成VHDL语言的编译、时序的仿真和程序的调试烧写工作等工作。本设计中所用语言

为VHDL(Very-High-Speed Integrated Circuit HDL),设计思想是从底层到顶层依次调试、仿真。VHDL是以高级语言为甚而,能够以形式化方式描述电路的结构和行为并用于模拟和综合的高级描述方法。目的是用软件的方法实现硬件电路的设计,实现RTL级仿真,验证设计的正确性,而不必像在传统的手工设计过程中那样,必须等到完成后才能进行实测和调试。

第三章总体设计方案

3.1 总体设计思想

要产生准确的时钟信号必须要一个很高频率的信号进行分频后得到想要的时钟信号才能确保时钟的精度,本设计中使用1MHz时钟信号先进行10分频得到100KHz的信号为数码管扫描信号,再将1KHz信号进行1000分频得到100Hz信号,此信号作为秒表的百分之一秒为,然后每100码元产生一个进为从后得到1秒钟的信号,再每60秒产生一个分钟信号作为分钟信号。总体设计方案如图3-1所示。

图3-1 秒表总体设计方案

3.2 总体硬件设计方案

硬件使用EPM3254ATC144-10(引脚如图3-2)作主控制,8个七段数码管作显示部分,三个开关分别起:开始、暂停、清零作用,总电路图如图3-4

图3-2 EPM3254ATC144-10引脚

图 3-3 总硬件电路图

3.3 总体软件设计方案

软件设计思想是从底层往顶层设计,将一个比较复杂的程序划分成7个小的模块,再通过一个顶层电路将七个子程序连接起来。本设计中分为七个子模块分别为:10分频、1000分频、一百分之一秒模块、秒钟模块、分钟模块、译码模块和显示模块。具体电路图如图 3-4

所示,体仿真结果如图 3-5所示。

图 3-4 软件总电路图

图 3-5 软件总电路仿真结果

第四章软件设计及仿真4.1 10分频模块程序设计及仿真

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity f10 is

port(clk:in std_logic;

end;

architecture art of f10 is

signal count:integer range 0 to 9;---改X值,signal clk_data:std_logic;

begin

process(clk,count)

begin

if clk'event and clk='1' then

if count=9 then ---X值决定分频倍数

count<=0;

clk_data<=not clk_data;

else count<=count+1;

end if;

end if;

clk_out<=clk_data;

end process;

end art;

图4-1

4.2 1000分频模块程序设计及仿真

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity f1000 is

port(clk:in std_logic;

clk_out:out std_logic);

end;

architecture art of f1000 is

signal count:integer range 0 to 999;---改X值,

begin

process(clk,count)

begin

if clk'event and clk='1' then

if count=999 then ---X值决定分频倍数

count<=0;

clk_data<=not clk_data;

else count<=count+1;

end if;

end if;

clk_out<=clk_data;

end process;

end art;

图4-2

4.3 七段数码译码程序及仿真

说明:本程序适用于共阳极七段数码管。其硬件如下图所示:

硬件描述语言如下:

LIBRARY ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity deled is

port( num: in std_logic_vector(3 downto 0 ); led: out std_logic_vector(6 downto 0) );

end deled;

architecture fun of deled is

begin

led<= "1000000"WHEN num="0000" ELSE –-显示“0”

"1111001"WHEN num="0001" ELSE –-显示“1”

"0100100"WHEN num="0010"ELSE –-显示“2”

"0110000"WHEN num= "0011"ELSE –-显示“3”

"0011001"WHEN num= "0100"ELSE –-显示“4”

"0010010"WHEN num= "0101"ELSE –-显示“5”

"0000010"WHEN num="0110" ELSE –-显示“6”

"1111000"WHEN num="0111" ELSE –-显示“7”

"0000000"WHEN num= "1000"ELSE –-显示“8”

"0010000"WHEN num= "1001" ELSE –-显示“9”

"0111111"WHEN num="1111"; –-显示“-”

end fun;

图4-3

注:从图中可看出当num=”0000”时,led=“1000000”所以显示的是“0”,又如当num=”0111”时,led=”1111000”所以显示为“7”。

4.4100分之1秒模块程序设计及仿真

百分之一秒硬件如下图所示,

clk为时钟脉冲输入端,实际中脉冲频率为100Hz,

clr为清零端,当clr=‘1’时归“00”

En为使能端,当En=‘1’时,芯片使能

Stop为停止计数端,当stop=‘1’时停止计数

Co 为分钟进位端,每100个clk产生一个进位信号

Sec1 百分之一秒的十位

Sec0 百分之一秒的个位

硬件描述语言:

l ibrary ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity miao100 is

port(clk,clr,En,STOP:in std_logic;----时钟/清零信号

sec1,sec0:out std_logic_vector(3 downto 0);----秒高位/低位 co:out std_logic);-------输出/进位信号

end miao100 ;

architecture SEC of miao100 is

begin

process(clk,clr)

variable cnt1,cnt0:std_logic_vector(3 downto 0);---计数

begin

if clr='1' then----当ckr为1时,高低位均为0

cnt1:="0000";

cnt0:="0000";

elsif clk'event and clk='1' then

IF En='1'THEN

if stop='1' then

cnt0:=cnt0;

cnt1:=cnt1;

elsif cnt1="1001" and cnt0="1000" then

co<='1';----进位

cnt0:="1001";----低位为9

elsif cnt0<"1001" then----小于9时

cnt0:=cnt0+1;----计数

else

cnt0:="0000";

if cnt1<"1001" then----高位小于5时

cnt1:=cnt1+1;

else

cnt1:="0000";

co<='0';

end if;end if;end if;end if;

sec1<=cnt1;

sec0<=cnt0;

end process;

end SEC;

图4-4

从图中可以看出如下:

①在1个clk处sec0每1个clk自增1,当clk=100Hz时,每个sec0为一百分之一秒

②在10个clk处sec1 每10个clk自增1为100分之一秒的十位

③在100个clk处co产生一个进位,为1S信号

4.5输出扫描模块程序设计及仿真

动态扫描显示程序硬件模拟图

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity seltime is

port(clk:in std_logic;

count1:in std_logic_vector(3 downto 0);

count2:in std_logic_vector(3 downto 0);

count3:in std_logic_vector(3 downto 0);

count4:in std_logic_vector(3 downto 0);

count5:in std_logic_vector(3 downto 0);

count6:in std_logic_vector(3 downto 0);

sel:out std_logic_vector(7 downto 0);

YIMA:out std_logic_vector(3 downto 0));

end entity seltime;

architecture art of seltime is

signal daout:std_logic_vector(3 downto 0);

signal sum:std_logic_vector(3 downto 0);

begin

YIMA<=DAOUT;

process(clk) is

begin

if clk'event and clk='1'then

if sum>="0111" then sum<="0000";

else sum<=sum+1;

END IF;

ELSE NULL;

END IF;

case sum is

when "0000"=>daout<=count1;sel<="00000001"; when "0001"=>daout<=count2;sel<="00000010"; when "0010"=>daout<="1111";sel<="00000100"; when "0011"=>daout<=count3;sel<="00001000"; when "0100"=>daout<=count4;sel<="00010000"; when "0101"=>daout<="1111";sel<="00100000"; when "0110"=>daout<=count5;sel<="01000000"; when "0111"=>daout<=count6;sel<="10000000"; WHEN OTHERS=>daout<="1111";

end case;

end process;

end architecture;

图 4-5

4.6 秒模块程序设计及仿真

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity SECOND is

port(clk,clr:in std_logic;----时钟/清零信号

sec1,sec0:out std_logic_vector(3 downto 0);----秒高位/低位 co:out std_logic);-------输出/进位信号

end SECOND;

architecture SEC of SECOND is

begin

process(clk,clr)

variable cnt1,cnt0:std_logic_vector(3 downto 0);---计数

begin

if clr='1' then----当ckr为1时,高低位均为0

cnt1:="0000";

cnt0:="0000";

elsif clk'event and clk='1' then

if cnt1="0101" and cnt0="1000" then----当记数为58(实际是经过59个记时脉冲) cnt0:="1001";----低位为9

co<='1';----进位

elsif cnt0<"1001" then----小于9时

cnt0:=cnt0+1;----计数

else

cnt0:="0000";

if cnt1<"0101" then----高位小于5时

cnt1:=cnt1+1;

else

cnt1:="0000";

Co<='0';

end if;

end if;

end if;

sec1<=cnt1;

sec0<=cnt0;

end process;

end SEC;

图 4-6

4.7 分钟模块程序设计及仿真

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity MINUTE is

port(clk,clr:in std_logic;

min1,min0:out std_logic_vector(3 downto 0);

co:out std_logic);

end MINUTE;

architecture MIN of MINUTE is

begin

process(clk,clr)

variable cnt1,cnt0:std_logic_vector(3 downto 0); begin

if clr='1' then----当ckr为1时,高低位均为0 cnt1:="0000";

cnt0:="0000";

elsif clk'event and clk='1' then

if cnt1="0101" and cnt0="1000" then

co<='1';

cnt0:="1001";

elsif cnt0<"1001" then

cnt0:=cnt0+1;

else

cnt0:="0000";

if cnt1<"0101" then

cnt1:=cnt1+1;

else

cnt1:="0000";

co<='0';

end if;

end if;

end if;

min1<=cnt1;

min0<=cnt0;

end process;

end MIN;

图 4-7

第六章总结

6.1 总结

本课题的目的是设计一种基于FPGA的秒表,它要具有较高的精度和稳定性。本次设计我采用EDA工具Quartus Ⅱ 5.0运用VHDL语言实现计时电路,采用电路设计工具Protel 99se 软件实现系统外围电路的设计。本文首先介绍EDA的应用背景和发展趋势,说明设计一种较高精度的数字秒表的必要性,介绍它的应用及技术指标,然后详细介绍秒表系统组成和各部分的作用,其中各部分模块包括晶振、分频器、按键控制、计时模块、译码模块、数码显示部分及支持其工作的外围电路,还要包括编程下载所必需的接口部件等;提出基于FPGA的数字秒表的设计方案,详细介绍数字秒表的硬件电路,包括有源晶振、FPGA芯片及其外围电路、编程下载接口、数码管驱动电路及显示模块等,对数字秒表的软件设计作了详细介绍,包括计时模块的设计、系统电路设计等;描述软件程序的仿真和硬件电路调试,以及调试过程中遇到的问题及解决方法。数字秒表的硬件实现使用Protel 99se设计外围电路,最终完成整个秒表的硬件设计]7[。

通过以上的系统介绍,我们可以从中知道基于FPGA的秒表系统设计的过程为:先介绍各组成结构有晶振、输入键 START 键和RESET键、有显示输出部分;又介绍秒表的各部分的功能,通过功能的介绍我们可以知道这些组件是必不可少的,然后将这写组件总体安装在一起就可以得到秒表的整体系统结构]13[。最后又介绍输入输出端口的功能,从而完成了基于FPGA的秒表系统设计。

6.2 收获

1、系统工作原理的研究分析;

2、系统主要的VHDL程序设计与仿真;

3、系统实现的硬件原理图设计与PCB版图设计;(第2课堂中完成)

4、PCB板加工;

5、主要元件的焊接与测试;

6、实习综合报告撰写;

单片机的秒表系统设计方案

编号:201834140148 本科毕业设计 基于单片机的秒表系统设计 系 (院>:信息工程学院 姓名: 学号:0835140148 专业:通信工程 年级:2008级 指导教师: 职称:副教授 完成日期:2018年5月

摘要 当今时代,是一个新技术层出不穷的时代。在电子领域,尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统正以前所未见的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。单片机的出现是现代科技发展的一个重要的里程碑。由于单片机的集成度高、功能强,通用性好,特别是它具有体积小、重量轻、能耗低、价格便宜等优点,使单片机迅速得到推广应用,目前已成为测量控制应用系统中的优选机种和新电子产品的关键部件。 本设计是一个利用单片机控制的多功能秒表系统,它是基于51系列的单片机进行的系统设计。它采用AT89C51单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、电源电路、LED数码管以及键盘电路来设计计时器。将软、硬件有机地结合起来,使得系统能够实现四位LED显示,最大显示时间为59.9秒,每毫秒自动加1,一个开始按键、一个暂停按键、一个复位按键,其突出的优点是:体积小、场外作业、功耗最低、宜用电池作为电源、硬件结构紧凑、简单和软件设计灵活。最后通过仿真调试,在proteus环境下建立了仿真模型,仿真结果表明本设计是正确的。 关键词:单片机;秒表;时钟电路;系统设计 Abstract

In the present era, is a new technology emerge in an endless stream time. In the field of electronics, especially the intelligent automatic control field, the traditional discrete components or digital logic circuit of the control system is at an unprecedented pace was replaced by intelligent control system. SCM has the advantages of small volume, strong function, low cost, wide application range and other advantages, can say, intelligent control and automation is the core of scm. SCM is the emergence of modern science and technology development of an important milepost. As the single-chip high integration, strong function, good versatility, especially it has the advantages of small volume, light weight, low energy consumption, low price, the single chip microcomputer rapidly spreading, has now become the measurement control in the application system of optimization models and the new electronic product key parts. This design is the use of a single chip computer controlled multi-function stopwatch system, which is based on the51 series single-chip system design. It uses AT89C51 microcontroller as the center device, use the timer / counter timing and counting principles, combined with display circuit, power supply circuit, LED digital tube and a keyboard circuit to design the timer. The soft, hardware combination, so that the system can achieve four LED display, maximum display time is 59.9 seconds, each MS add 1, a start button, a pause button, a reset button, the utility model has the advantages of small volume, off-site operations:, lowest power consumption, to use the battery as a power, compact hardware structure, simple and flexible software design. Finally through the simulation debugging, in the Proteus environment to establish the simulation model, the simulation results show that the design is correct. Key words: single chip microcomputer。 stopwatch clock circuit。 system design

基于FPGA数字秒表设计报告

标准实验报告实验项目:基于FPGA数字秒表设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

数字电路课程设计——多功能数字钟

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 多功能数字钟的设计仿真与制作 初始条件: 利用集成译码器、计数器、定时器、数码管、脉冲发生器和必要的门电路等数字器件实现系统设计。(也可以使用单片机系统设计实现) 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。 2、技术要求: 错误!未找到引用源。设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 错误!未找到引用源。具有60进制和24进制(或12进制)计数功能,秒、分为60进制 计数,时为24进制(或12进制)计数。 ③有译码、七段数码显示功能,能显示时、分、秒计时的结果。 ④设计提供连续触发脉冲的脉冲信号发生器, ⑤具有校时单元、闹钟单元和整点报时单元。 ⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2009 年6 月20~22 日,查阅相关资料,学习设计原理。 2、2009 年6 月23~24 日,方案选择和电路设计仿真。 3、2009 年6 月25~27 日,电路调试和设计说明书撰写。 4、2009 年6 月28 日上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.绪论 (3) 2.Proteus软件介绍 (4) 3.总体方案的设计与实现 (6) 3.1 数字钟的原理框图 (6) 3.2 各模块功能分析 (6) 3.2.1晶体振荡器 (6) 3.2.2分频器 (7) 3.2.3时间计数单元 (8) 3.2.4译码驱动及显示单元 (10) 3.2.5校时电源电路 (10) 3.2.6整点报时电路 (11) 4.数字钟的安装与调试 (12) 5.数字钟的工作状态分析 (13) 5.1数字钟的工作过程及结果分析 (13) 5.2数字钟工作过程中出现的问题及解决方法 (13) 6.元件清单 (15) 7.数字钟仿真图 (16) 8.心得体会 (17) 9.参考文献 (18) 10.课程设计成绩评定表 (19)

数字秒表课程设计报告

数字秒表的设计与制作 一.设计要求 设计并制作一个数显电子秒表,要求: 1)能直接显示“分”“秒”“毫秒”的电子秒表; 2) 要求最大能显示9”59’999; 3)能通过按键启动计时,并能通过按键停止计时,并保留显示计时时间; 4)能通过按键复位。 二.题目分析: 数字秒表是一种常用的秒计时装置,它能实现手控记秒、停摆、清零功能,它的设计原理就是利用数字逻辑中的知识。 通过对该数字秒表的设计要求的分析,设计的此数字秒表主要由信号发生器、分频器、译码器、十进制计数器、六十进制计数器、一千进制计数器、控制电路组成。在整体秒表 中最关键的如何获得一个精确的1000HZ计时脉冲。除此之外,数字秒表还需要有清零控制端以及启动控制端,以便数字时钟能随意启动计时、停止计时以及暂停计时。分频器用来 产生1000HZ计时脉冲;十进制计数器是用来对分进行计数;六十进制计数器是用来对秒进行计时,一千进制计数器是用来对毫秒进行计时;译码器是完成对7段数码管显示的控制。 按计数要求,须用数码管来做显示器,题目要求最大能显示9ˊ59〞999,需要六个数 码管,超过最大显示的数字要重新从0开始计数。 复位开关用来使计时器清零,并做好清零准备,复位开关可以在任意情况下使用,即使在计数过程中,只要按一下复位开关,计时进程终止,并对计时器清零。 三.总体方案: 因为数字秒表,所以必须有一个数字显示。按设计要求,须用七段数码管来做显示器。题目要求最大记数值为9”59’999,那则需要六个数码管。 要求计数分辨率为0.001秒,那么我们需要相应频率的信号发生器。选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。由于晶体振荡器产生的脉冲更加的稳定,所以我们选择用晶体振荡器产生脉冲。 CP脉冲是由晶体振荡器构成的多谐振荡器,产生1000HZ脉冲。 秒计数60进制,分计数10进制,毫秒计数1000进制,输出为6片与CD4511芯片匹配的6片共阴极数码管,最大计时时长为9ˊ59〞,超过最大显示的数字要重新从0开始计数。

数电课设 数字秒表的设计仿真

##大学 ##学院 数字电子技术课程设计 课程名称:数字电子技术基础 题目名称:数字电子秒表设计 学生系别:信息工程系 专业班级: 学号: 学生姓名: 指导教师: ..年..月..日

目录 一、设计要求 (3) 二、题目分析 (3) 三、总体方案 (3) 四、具体实现 (4) 1、总体方框图 (4) 2、原理图 (4) 如下图所示: (4) 五、各部分定性说明及定量计算 (5) 1、脉冲发生器(由555构成的多谐振荡器) (5) 2、计数器(74LS90) (7) 3、七段发光二极管(LED)数码管 (8) 4、BCD码七段译码驱动器——CC4511 (9) 六、实验仿真 (11) 七、元器件清单 (11) 八、设计心得体会 (12) 九、参考文献 (12)

数字秒表的设计与仿真 一、设计要求 设计并仿真一个数显电子秒表,要求: (1)能直接显示“分”、“秒”的电子秒表; (2)要求最大能显示9ˊ59〞; (3)能通过按键启动计时,并能通过按键停止计时,并保留显示计时时间; (4)能通过按键复位。 主要器件: 74LS00、555、74LS90、CC4511 二、题目分析 数字秒表是是一种常用的秒计时装置,它能实现手控记秒、停摆、清零功能,它的设计原理就是利用数字逻辑中的知识。 通过对该数字秒表的设计要求的分析,设计的此数字秒表主要由分频器、译码器、十进制计数器、六十进制计数器、控制电路组成。在整体秒表中最关键的如何获得一个精确的100HZ计时脉冲。除此之外,数字秒表还需要有清零控制端以及启动控制端,保持,以便数字时钟能随意、停止及启动。分频器用来产生100HZ计时脉冲;十进制计数器:对分进行计数;六十进制计数器是用来对秒进行计时,显示译码器是完成对7段数码管显示的控制。 按计数要求,须用数码管来做显示器,题目要求最大能显示9ˊ59〞,需要三个数码管,超过最大显示的数字要重新从0开始计数。 复位开关用来使计时器清零,并做好清零准备,复位开关可以在任意情况下使用,即使在计数过程中,只要按一下复位开关,计时进程终止,并对计时器清零。 三、总体方案 数字秒表,必须有一个数字显示。按设计要求,须用数码管来做显示器,题目要求最大能显示9ˊ59〞,则需要三个数码管。计数分辨率为1s,需要相应的信号发生器,选择信号发生器有两种方案: Ⅰ用晶体振荡器; Ⅱ用集成电路555计时器与电阻电容组成的多谐振荡器。 两者都可以产生振荡频率,我们选用方案Ⅱ,因为其核心部分是使用三个74LS90计数器采用串联方式构成,并且这种连接方式简单,使用元器件数量少。

电子秒表课程设计

课程设计 题目 学院 专业 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目:电子秒表的设计与制作 初始条件: (1)计数精度可达1/100秒 (2)可显示时间99.99秒 (3)具有开关可启动,暂停,清零功能 选作:设计可改变计时时间(最大59.99秒)的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~1月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

目录 摘要 (4) 电子秒表的设计与制造 (5) 1 课题分析 (5) 2系统设计方案的选择 (5) 3 电子秒表系统主体流程框图 (6) 4 单元电路的设计 (7) 4.1脉冲产生电路 (7) 4.2 计数电路 (8) .3 译码显示电路 (9) 4.4 控制电路 (10) 5 仿真测试 (10) 6 电子秒表设计原理图 (11) 7 结束语 (11) 参考文献 (12) 附录 (13) 附录一:选作:设计可改变计时时间的电路 (13) 附录二:74LS290功能表 (15) 附录三:74LS48功能表 (15)

摘要 电子秒表是一种数字显示计时装置,由于它走时准,设计简单,显示直观,因此被广泛运用于科学研究,体育运动,国防等方面。比如对物体速度,加速度的测量,体育比赛的时间的测量等。 数字电子秒表由组合逻辑电路和时序逻辑电路组成,555定时器组成多谐振荡器产生脉冲,在脉冲控制下的组合计数器电路通过一系列的触发产生数字信号,数字信号经译码器译码后输入到显示数码管显示时间。 电子秒表的广泛应用提高了人们的工作效率,随着电子技术的发展,电子秒表的精度,电路简易型等到了很大的提高,功能得到了完善。 关键词:秒表定时器效率

基于51单片机的秒表设计

江西理工大学应用科学学院信息工程系单片机原理与应用课程设计报告 设计题目:基于51单片机的秒表设计 专业:电子信息工程 班级:电信121 学号: 08060312109 参与人员:贺佳、周代元、周昶旭、张浥中 指导老师:王苏敏 完成日期: 2015年1月20日

目录 1 设计任务和性能指标 (1) 1.1 课题内容 ....................... 错误!未定义书签。 1.2 课题要求 ........................ 错误!未定义书签。 2 设计方案............................. 错误!未定义书签。 2.1 需求分析 (3) 2.2 方案论证 (3) 3系统软件设计 (5) 4.1 系统软件流程图................... 错误!未定义书签。 4.2 实验程序清单 .................... 错误!未定义书签。 4 系统硬件设计 (10) 5.1 调试步骤 (11) 5.2 性能分析 ........................ 错误!未定义书签。5系统硬件设计.......................... 错误!未定义书签。参考文献.. (14)

1 设计任务和性能指标 1 课题内容要求及目的 1.1课题内容 用AT89C51设计一个秒表,该秒表课可显示0.0~99.9秒的时间,进行相应的单片机硬件电路的设计并进行软件编程利用单片机定时 器/计数器中断设计秒表,从而实现秒、十分之一秒的计时。综合运用所学的《单片机原理与应用》理论知识,通过实践加强对所学知识的理解,具备设计单片机应用系统的能力。通过本次系统设计加深对单片机掌握定时器、外部中断的设置和编程原理的全面认识复习和掌握。本系统利用单片机的定时器/计数器定时和记数的原理,通过采用proteus仿真软件来模拟实现。模拟利用AT89C51单片机、LED 数码管以及控件来控制秒表的计数以及计位!其中有三位数码管用来显示数据,显示秒(两位)和十分之一秒,十分之一秒的数码管计数从0~9,满十进一后显示秒的数码管的数字加一,并且十分之一秒显示清零重新从零计数。计秒数码管采用三位的数码管,当计数超过范围是所有数码管全部清零重新计数。 1.2课题要求 本课题是基于单片机的秒表系统设计,它的具体要求有以下几点: (1)用单片机AT89C51实现; (2)以0.1秒为最小单位进行显示; (3)秒表量程为0.0-99.9秒,用 LED显示;

电子秒表的设计与制作

电子秒表的设计与制作 毕 业 论 文 院校:周口师范学院 系别:物理与电子工程系 班级:0 8 专升本 专业:电子信息工程专业 学号:2 0 0 8 0 5 0 8 0 0 2 2 姓名:王克奎 指导老师:吴定允

目录摘要 关键词 1 概述 1.1设计的背景 1.2 现实的意义 1.3 功能简介 2 硬件设计 2.1 总体方案的设计 2.2 单片机的选择与设计 2.3 显示电路 2.4 按键电路 2.5 时钟电路 2.6 复位电路位 2.7 系统总电路的设计 3 软件设计 3.1 程序设计思想 3.2 系统资源的分配 3.3 主程序设计 3.4 中断程序设计 4 安装与调试 4.1 软件的仿真与调试 4.2 硬件的安装与调试 4.3 系统程序的烧录 总结与展望 致谢 参考文献

摘要:本设计的数字电子秒表系统采用AT89C52单片机为核心器件,利用其定时器/ 计数器定时和计数的原理,结合显示电路,LED数码管以及外部中断电路来设计计数器。将软、硬件有机地结合起来,使得系统能够实现五位LED显示,显示时间为0~99.999秒,计时精度为0.001秒能正确地进行计时,同时能记录一次时间,并在下一次计时后对上一次计时时间进行查询。其中软件系统采用汇编语言编写程序,包括显示程序,定时中断服务程序,外部中断服务程序,延时程序等,并在W A VE中调试运行,硬件系统利用PROTEUS 强大的功能来实现,简单且易于观察,在仿真中就可以观察到实际的工作状态。 关键词:单片机;中断;定时 Abstract:The design of digital electronic stopwatch system is AT89C52 microcontroller as the core device, use the timer / counter timing and counting principles, combined with display circuit, LED digital tube and the external interrupt circuit to design the counter. The software and hardware together organically, allows the system to achieve the five LED display shows the time from 0 to 99.999 seconds, 0.001 seconds precision timing can be correctly timed, while able to record a time and after time on the next time to query a time. Software system which uses assembly language programming, including the display program, timing interrupt service routine, the external interrupt service routines, delay procedures, and WAVE in the debugging and running, the hardware system used to achieve PROTEUS powerful, simple and easy to observe, In the simulation can be observed on the actual working condition. Key words: SCM; break; time 引言:随着科技的飞速发展,电子技术如雨后春笋般地生长变化着,特别是单片机的应用更是无处不在。本文正是基于单片机来设计一个数字电子秒表的文章。同时秒表计时器是电器制造,工业自动化控制,国防,实验室及科研单位理想的计时仪器,它广泛应用于各种继电器,电磁开关、控制器、延时器、定时器等的时间测试。 在刚过去的加拿大的温哥华的冬奥运会上,中国女将王濛在短道速滑500米用了43秒048的成绩夺得了桂冠,而加拿大的选手玛丽安妮的以43秒707的成绩夺得了亚军,只与王濛相差了0.659秒,这种细微的差距,怎样才能计算出来呢?只能用更精确的电子秒表才能区分出来。我就基于这种情况设计了电子秒表。 1概述 1.1设计背景 现在市场上的电子秒表有的利用FPGA设计的秒表,还有用逻辑电路设计的,而我采用的是单片机设计的电子秒表。单片机设计的秒表抗干扰性强,计时精度高。 由于上述原因我设计了基于单片机的电子秒表。 1.2现实意义 随着科技的飞速发展,电子技术如雨后春笋般地生长变化着,特别是单片机的应用更是无处不在。本文正是基于单片机来设计一个数字电子秒表的文章。本设计秒表精度

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

基于单片机的数字秒表设计定稿毕业论文

(此文档为word格式,下载后您可任意编辑修改!) 河南农业大学 《智能仪器设计实习》 秒表设计 题目_______________________ 姓名:______________________________ 指导教师:__________________________ 成绩:_____________________________________ 时间:2014年12月5日 摘要21世纪,单片机的发展非常的迅速。单片机是把主要计算机功能部件都集成 在一块芯片上的微型计算机。它是一种集计数和多种接口于一体的微控制器,被广泛应

用在智能产品和工业自动化上,而51单片机是个单片机中最为典型和最有代表性的一种。本设计的数字电子秒表系统采用STC89C5洋片机为中心器件,利用 其定时器计数器定时和记数的原理,结合显示电路、LED数码管以及独立键盘来设 计秒表,将软、硬件有机地结合起来。 本设计的软件系统是用C语言编写的.包括一个定时器中断,4个独立键盘及软件消抖等。最后做成一个具有打开、关闭、复位、记忆功能的数字秒表。 目录 1引言 (1) 1.1单片机的背景及意义 (1) 2系统分析 (4) 2.1单片机的基本结构 (4) 2.2单片机的选择 (9) 3.秒表的硬件设计 (12) 3.1LED显示电路 (12) 3.2键盘控制电路 (15) 3.3译码器的使用 (16) 3.4单片机晶振和复位电路 (17) 4秒表的软件设计 (19) 4.1C程序整体设计思路 (19) 4.2主程序设计 (20) 4.3中断设计 (21) 5软件调试和结果 (26) 5.1软件调试与烧写 (26) 5.2 硬件仿真 (27)

12小时数字钟电路设计

沈阳航空航天大学 课程设计报告 课程设计名称:计算机组成原理课程设计 课程设计题目:12小时数字钟电路设计与实现 院(系):计算机学院 专业:计算机科学与技术 班级:34010104 学号:2013040101164 姓名: 指导教师:胡光元 完成日期:2016 年 1月 13 日

沈阳航空航天大学课程设计报告 目录 第1章总体设计方案 (2) 1.1设计原理 (2) 1.2设计思路 (2) 1.3设计环境 (2) 第2章详细设计方案 (2) 2.1算法与程序的设计与实现 (3) 2.2流程图的设计与实现 (4) 第3章程序调试与结果测试 (7) 3.1程序调试 (7) 列举出调试过程中存在的问题 (7) 3.2程序测试及结果分析 (7) 参考文献 (9) 附录(源代码) (10)

第1章总体设计方案 1.1设计原理 通过Verilog语言,编写12小时数字钟电路设计与实现的Verilog程序,一般的做法是底层文件用verilog写代码表示,顶层用写的代码生成的原理图文件链接组成,最后在加上输入输出端口。采用自上而下的方法,顶层设计采用原理图设计输入的方式。 1.2设计思路 1.实时数字钟显示功能,即时、分、秒的正常显示模式,并且在此基础上增加上,下午显示。 2.手动校准。按动方式键,将电路置于校时状态,则计时电路可用手动方式校准,每按一下校时键,时计数器加1;按动方式键,将电路置于校分状态,以同样方式手动校分。 1.3设计环境 (1)硬件环境 ?伟福COP2000型计算机组成原理实验仪 COP2000计算机组成原理实验系统由……… ?COP2000集成调试软件 COP2000集成开发环境是为…………. (2)EDA环境 ?Xilinx foundation f3.1设计软件 Xilinx foundation f3.1是Xilinx公司的可编程期间………….

基于89C51单片机的秒表课程设计讲解

《单片机技术》 课程设计报告 题目:基于MCU-51单片机的秒表设计班级: 学号: 姓名: 同组人员: 指导教师:王瑞瑛、汪淳 2014年6月17日

目录 1课程设计的目的 (3) 2 课程设计题目描述和要求 (3) 2.1实验题目 (3) 2.2设计指标 (3) 2.3设计要求 (4) 2.4增加功能 (4) 2.5课程设计的难点 (4) 2.6课程设计内容提要 (4) 3 课程设计报告内容 (4) 3.1设计思路 (4) 3.2设计过程 (5) 3.3 程序流程及实验效果 (6) 3.4 实验效果 (13) 4 心得体会 (14)

基于 MCS-51单片机的秒表设计 摘要:单片机控制秒表是集于单片机技术、模拟电子技术、数字技术为一体的机电一体化高科技产品,具有功耗低,安全性高,使用方便等优点。本次设计内容为以 8051 单片机为核心的秒表,它采用键盘输入,单片机技术控制。设计内容以硬件电路设计,软件设计和 PCB 板制作三部分来设计。利用单片机的定时器/计数器定时和计数的原理,用集成电路芯片、LED 数码管以及按键来设计计时器。将软、硬件有机地结合起来,使他拥有正确的计时、暂停、清零、并同时可以用数码管显示,在现实生中应用广泛。 关键词:秒表;8051;定时器;计数器 1 课程设计的目的 《单片机应用基础》课程设计是学好本门课程的又一重要实践性教学环节,课程设计的目的就是配合本课程的教学和平时实验,以达到巩固消化课程的内容,进一步加强综合应用能力及单片机应用系统开发和设计能力的训练,启发创新思维,使之具有独立单片机产品和科研的基本技能,是以培养学生综合运用所学知识的过程,是知识转化为能力和能力转化为工程素质的重要阶段。 2 课程设计题目描述和要求 2.1实验题目 开始时,显示“00.0”,第一次按下按钮后开始从0-99.9s计时,显示精度为0.1s;对用有4个功能按键,第1个按键复位00.0,第2个按键正计时开始按钮,第3个按键复位99.9,第4个按钮倒计时开始。 2.2设计指标 了解8051芯片的的工作原理和工作方式,使用该芯片对 LED 数码管进行显示控制,实现用单片机的端口控制数码管,显示分、秒,并能用按钮实现秒表起

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

基于单片机的电子钟设计毕业论文。。

基于单片机的电子时钟设计 摘要 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于晶体震荡器,可能会导致误差。 数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED数码管显示时、分、秒,以24 小时计时方式,根据数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定 时器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 关键字:数字电子钟单片机 数字电子钟的背景 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。下面是单片机的主要发展趋势。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法

电子秒表课程设计

电子秒表 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

可任意启动停止的电子秒表设计说明

电子技术课程设计报告可任意启动/停止的电子秒表设计 学院:机械与电子工程学院 年级专业: 08级电子信息工程 学号: 姓名: 指导教师: 完成时间: 2011.07.09 成绩:

摘要 本系统为基于AT89C52单片机,可任意启动/停止的电子秒表系统。该系统由硬件和软件组成。系统硬件主要由电源电路、按键、数码管显示电路及其他辅助电路组成;软件利用Keil工具,采用8051C语言编程。该系统可以实现0.01s的精度,以及对运行时间的显示、启动或停止秒表等功能。 关键词:单片机;数码管;按键

目录 1 设计目的及要求 0 1.1 设计目的和意义 0 1.2 设计任务与要求 0 2 硬件电路设计 (1) 2.1 总体方案设计 (1) 2.2 功能模块电路设计 (2) 2.2.1 单片机的选型 (2) 2.2.2 振荡电路设计 (4) 2.2.3 复位电路设计 (4) 2.2.4 数码管显示电路设计 (5) 2.2.5 按键电路设计 (5) 2.3 总电路设计 (7) 3 软件系统设计 (8) 3.1 软件系统总体方案设计 (8) 3.2 软件流程图设计 (8) 4 系统调试 (9) 5 总结 (10) 5.1 本系统存在的问题及改进措施 (10) 5.2心得体会 (11) 参考文献 (9) 附录:系统的源程序清单 (10)

1设计目的及要求 1.1 设计目的和意义 当今,计算机技术带来了科研和生产的许多重大飞跃,微型计算机的应用已渗透到生产、生活的各个方面。其中单片微型计算机虽然问世不久,然而体积小、价廉、功能强,其销售额以每年近80%的速率增长。他的性能不断提高,适用范围愈来愈宽,在计算机应用领域已占有日益重要的低位。 秒表应用于我们生活、工作、运动等需要计时的方面。钟表的数字化给人们生产生活带来了极大的方便,而且大大的扩展了钟表原先的报时功能。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。电子科技日新月异,人们对现代电子设备的智能化和微型化及其精度提出来更高的要求,本次采用了AT89C52单片机,其灵活性和可靠性都相对提高。 鉴于以上要求,我利用proteus仿真软件,设计了一款基于AT89C52单片机的可启动/暂停的秒表计时系统。 1.2 设计任务与要求 系统要求6位LED数码显示,计时单位为1/100秒。利用功能键进行启/停控制。其功能为:上电后计时器清0,当第一次(或奇数次)按下启/停键时开始计数。第2次(或偶数次)按下该键时停止计时,再一次按启/停键时清零后重新开始计时。 2 硬件电路设计 2.1 总体方案设计 电子秒表系统主要完成对计时实时的显示,精度达到1/100s,以及通过功能键可以使当前计时暂停或重新计时。系统主要包括晶振电路、复位电路、按键电路以及数码管显示电路。 系统采用六个共阴极数码管,其中八位数据口与单片机的P0口通过74LS245双向总线收发器相接,可增加P0的驱动能力。6个片选信号连接单片机P1口的低六位。该电路可满足对计时时间的显示,最后一个最小显示单位为0.01s,溢出则向前进位,显示时间的范围为0~9999.99s。本系统按键采用外部中断的方式,因此按键接入单片机的P3.2口,通过下降沿触发方式来控制计时器的启停。 系统的整体框图如图1所示:

相关文档
最新文档