(笔记)QuartusII9.1完全操作教程

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

(笔记)Quartus II 与DE2 入门指导(Digital Logic)

(DE2)

作者:yf.x来源:博客园发布时间:2010-03-04 21:18 阅读:1218 次原文链接[收藏] Version 1.0

By yf.x

03/03/2010

Abstract

通过一个简单的实例介绍Quartus II 9.1和DE2基本使用方法。

Introduction

典型的计算机辅助设计流程

开始

新建一个项目(project)

Verilog设计输入

编译设计

管脚分配

仿真设计电路

规划、配置FPGA器件

测试设计的电路

一个典型的FPGA计算机辅助设计流程如图1所示。

图1 FPGA CAD设计流程

设计流程的步骤:

•设计输入(Design Entry)-- 用原理图或者硬件描述语言说明设计的电路。

•综合(Synthesis)-- 将输入的设计综合成由FPGA芯片的逻辑元件(logic elements)组成的电路。

•功能仿真(Functional Simulation)-- 测试、验证综合的电路功能正确与否,不考虑延时。

•适配(Fitting)-- 将工程的逻辑和时序要求与器件的可用资源相匹配。它将每个逻辑功能分配给最佳逻辑单元位置,进行布线和时序分析,并选定相应的互连路径和引脚分配。

•时序分析(Timing Analysis)-- 通过对适配电路的传播延迟的分析,提供电路的性能指标。

•时序仿真(Timing Simulation)-- 验证电路的功能和时序的正确性。

•编程和下载配置(Programming and Configuration)-- 在FPGA上实现设计的电路。

本文主要介绍Quartus II 的基本特性。演示如何用Verilog HDL在Quartus II平台设计和实现电路。包括:

•创建一个项目(project)

•用Verilog代码设计输入

•综合

•适配

•分配管脚

•仿真

•编程与下载

1 创建一个项目

(1)启动Quartus II ,选择File > New Project Wizard,弹出窗口(图2)

图2 新建项目向导

(2)选择Next,如图3输入项目路径和项目名。接着按Next,出现图4,提示:输入的项目文件夹不存在,是否要创建。选择“是”。

图3 项目路径和项目名

图4 确认项目路径

(3)新建项目向导里可以添加已存在的文件,假设我们这个项目没有要包含已存在的文件,在图5选择Next。

图5 添加已存在的项目文件

图6 选定目标芯片

(4)我们需要指定实现电路的目标器件,在图6中Device family的Family中选择Cyclone II,在Available devices里选择EP2C35F672C6。(DE2上使用的型号),选择Next。

图7 指定第三方工具

(5)在图7里我们可以指定第三方的开发工具,本文只用到Quartus II,未用其他工具,所以选择Next。

图8 项目说明

(6)一份摘要出现在图8,按Finish,返回Quartus II的主界面。

2 用Verilog代码设计输入

本文以实现一个2路输入控制灯开关的电路为例,如图9,x1,x2为2个开关,f为电路输出。

图9 原理图和功能表

使用Quartus II的文本编辑器

File > New出现图10,选择Verilog HDL File,ok确认。

图10 文件类型

File > Save as,如图11,文件名为light,文件类型为Verilog HDL File,保存。在文本编辑器里输入图12所示的代码,保存。

图11 文件名和保存路径

图12 2选一多选器代码

3 编译设计的电路

Processing > Start Compilation,或者单击开始编译,编译完成,会有提示。编译成功,会看到图13所示的编译报告,在Analysis & Synthesis > Equntions 里可以看到f=x1$x2,这里$表示异或。

图13 综合生成的功能表达式

当然,如果编译提示错误,可以在信息栏双击错误提示,光标会回到错误处,修正,重新编译即可。

4 管脚分配

我们用到2个拨动开关SW0和SW1提供外部输入,对应的FPGA管脚是N25和N26。输出f练到LEDG0,对应的FPGA管脚是AE22。

用Assignment Editor指定管脚

Assignments > Assignment Editor ,在Category选择Pin,双击To列的<>选择管脚x1,双击Location,选择Pin_N25,同样操作分配x2和f。如图14。然后保存:File > Save.

图14 管脚分配

另一种指定管脚的方法:

Quartus II支持导入和导出管脚分配文件,可以记事本等文本编辑器编辑图15所示的内容,保存为扩展名为.csv的文件。通过File > Assignments > Import Assignments 添加编辑csv文件。如图16。

图15 .csv文档

图16 导入.csv文档

5 仿真

在仿真之前,需要创建测试用的波形文件:

(1)File > New 在图17窗口中选择Vector Waveform File,ok确认。

相关文档
最新文档