计算机组成原理

计算机组成原理
计算机组成原理

计算机组成原理

一、名词解释

1、数据通路:是指指令执行过程中数据所流经的部件,其中包括各类运算部件

2、总线:是传输信息的通路,用于在部件之间传输信息,CPU、主存和I/O模块

通过总线互联

3、立即数:在指令中直接给出操作数本身,这种操作数称为立即数

4、指令周期:CPU取出并执行一条指令的时间称为指令周期

5、指令:指令是一种经过二进制编码的操作命令

6、控制器:控制器对计算机的各个部件的操作进行控制,控制和协调其他单元的

工作,使得各个部件协作完成某一件事

7、奇偶校验码:是一种简单的检错码,它在每K个信息位中增加1个校验位代码,

能发现数据代码中一位出错情况

8、Cache:是一个高速小容量的临时存储器,存储最经常访问的指令或者数据

9、堆栈:是一种按特定顺序进行访问的存储区,访问顺序是先进后出或后进先出

10、微地址:是微指令在控制存储器中的存储地址

11、运算器:运算器是计算中完成算数运算和逻辑运算的部件

12、助记符:用一些比较容易记忆的文字符号来表示指令中的操作码和操作数,这

种符号称为助记符

13、串行传输:串行传输是指数据的传输在一条信号线路上按位进行

14、微程序:一条机器指令的功能通常用许多条微指令组成的序列来实现,这个微

指令序列叫做微程序

15、存储器:存储器是计算机中存储大量程序和数据的部件

16、指令字长度:一个指令字中包含的代码的位数称为指令字长度

17、并行传输:并行传输是指传输二进制信息时,对每个数据位都需要采用单独的

一条传输信号线

18、中断嵌套:在多级中断系统中,CPU在处理一个中断的过程中可以又去响应新

的中断请求这种重叠处理中断的现象称为中断嵌套

19、微地址寄存器:在微程序控制器中,用来存放将要访问的下一条微指令的微地

址的寄存器

20、立即数寻址方式:指令的操作数直接在指令中给出的寻址方式

21、总线周期:主设备占用总线进行一次数据传输的时间

22、显示适配器:主机中链接显示设备的接口称为显示适配器

23、高速缓冲存储器:在主存储器与CPU之间设置的一个用来存储使用频繁的指令

和数据的高速小容量存储器

24、指令系统:计算机中各种指令的集合称为指令系统

25、总线:总线是链接两个或者多个设备的通信线路

26、寻址方式:对指令的地址码进行编码,以形成操作数在存储器中地址的方式

27、总线主设备:向总线发出控制信号,负责控制在总线上传输数据的总线设备

28、随机访问存储器(RAM):在半导体存储器中,程序和数据可以存放在任何位

置,访问时能够快速方便地访问任何地址中的内容,访问的速度与存储位置无关

29、地址码:指令中指定操作数地址的字段称为地址码,地址码中可包含存储器地

址,也可包含寄存器号等

30、堆栈指针:堆栈中用来保存最后进入的数据的位置信息(即栈顶位置)的地址

寄存器称为堆栈指针,简称SP

31、硬连线控制器:硬连线控制器采用组合逻辑电路,根据不同的指令在不同的时

钟周期产生不同的操作控制信号,协调各个部件之间的操作。它主要用在高速或简单计算机中

32、并行传输:用并行的方式传输二进制信息时,对每个数据位都需要采用单独的

一条传输信号线,多个数据位同时进行传输,一次可以完成若干数据位的传输

33、中断嵌套:在多级中断系统中,CPU在处理一个中断的过程中可以又去响应新

的中断请求,这种重叠处理中断的现象称为中断嵌套

34、微地址寄存器:在微程序控制器中,用来存放将要访问的下一条微指令的微地

址的寄存器

35、寻址方式:在指令的地址码中表示形成操作数的方式称为操作数的寻址方式,

简称寻址方式

36、RAM:随机存取储存器。CPU可以任意地访问存储器的任意单元,访问速度与

存储信息的位置无关

37、指令系统:指令系统是一台计算机所具有的各种指令的集合,是计算机硬件具

有的功能的反应

38、显示器分辨率:分辨率是衡量显示器的清晰度的指标,以图像点(像素)的个

数为标志,例如:800x600、1028x768等

39、主机:主机是由CPU、内存和输入输出接口等硬件组合在一起的子系统

40、ROM:ROM是半导体存储器的一种类型,只能从存储器上读取信息而不能向上

写信息

41、并行总线接口:接口与系统总线及外设之间均采用并行总线连接

42、CPU:运算器和控制器组成CPU,是计算机的核心部件

43、Cache命中:CPU访问主存时,如果所需的信息已经存在于Cache中,就可直

接从Cache中访问,而不必再到贮存中去访问

44、总线周期:是主设备占用总线进行一次数据传输的时间

45、主设备:在通过总线进行数据传输的多个设备中,获得总线控制权的设备称为

总线的主设备

46、集中式总线控制:集中式控制将总线的控制功能用一个专门的部件实现,这个

部件可以位于链接在总线的某个设备上

47、指令寄存器IR:指令寄存器是存放当前正在执行的指令的寄存器

48、反码:反码表示法是用对负值的各位取反的表示方法,正直则不便;反码的最

高位是符号位;0表示正,1表示负数

49、中断:在计算机执行程序过程中,当接到更急迫的服务请求时,将暂停执行现

行程序,转去执行中断服务程序,以处理该事件,并在处理完毕后恢复到源程序的执行,这个过程称为中断

50、控制器:控制器对计算机的各个部件的操作进行控制,控制和协调其他单元的

工作,使得各个部件协作完成一件事情

51、输入输出设备:输入输出设备常称为外围设备。它们一般包含一些机械部件等

难以与主机集成的部件,所以通常与主机分离

52、校验码:能够发现某些错误或确定错误位置的数据编码称为校验码

53、状态寄存器SR:状态寄存器SR存放运算中的状态信息

54、总线接口:是链接在总线上的设备与总线的链接电路

55、寄存器:寄存器由一组触发器构成,用于存储最频繁使用的数据

56、奇偶校验码:奇偶校验码在每K个信息位中增加一个校验位代码,使校验码中

1的个数保持奇偶性,以便发现数据代码中有一位出错

57、伪指令:用于表示汇编语言程序装入主存的位置、程序段和数据段起始位置及

表示程序结束(如END)等信息的汇编指令,由于它们并不转换成二进制的机器指令,故称为伪指令

58、机器周期:指令执行中每一步操作所需要的时间

59、通道指令:通道指令时指通道用于执行输入输出操作的指令,由通道控制器执

60、系统总线:链接系统各个功能的模块或设备的总线,作为计算机硬件系统的主

61、现场保护:在CPU开始执行中断服务程序之前,将PC寄存器的值及任何可能

影响返回后续执行的信息(如状态寄存器及有关的寄存器的值)都需要进行保护,这种保护现场有关信息的操作称为现场保护

62、应用软件:是面向用户应用的功能软件,专门为解决某个领域中的具体任务而

编写的软件

63、偶校验码:其构成规则是所有信息位和单个校验位模2加等于0,即每个码字

(包括校验位)中1的数目为偶数

64、微程序控制器:及用存储在ROM控制粗存器中的微程序来产生控制信号的这

种存储逻辑型的控制器

65、总线从设备:被主设备访问并与主设备通信的设备(是被动的一方)

66、通道程序:通道程序是用通道指令编制的程序,它们用于实现输入输出传输

67、总线的同步通讯方式:总线数据传送操作由同一的时钟信号控制,有严谨的时

钟周期划分,总线操作由固定的时序,这种数据通信方式称为同步通讯方式

68、统一编址:将I/O设备接口中的每个相关寄存器(如控制寄存器、数据寄存器

和状态寄存器)都视作一个主存编址单元,分配一个储存器地址,这样可用访问内存指令来访问外围设备,称为外设接口寄存器与主存统一编址

69、ALU:运算器中算术逻辑运算单元即ALU。它用来执行各种算术运算和逻辑运

70、溢出:在计算机中每种数据编码都有其数据表示范围,将运算过程中出现数据

超出这个表示范围的现象称为溢出

71、垂直型微指令:一次只定义并执行一种基本操作的微指令称为垂直型微指令

计算机组成原理_第四版课后习题答案(完整版)[]

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的;数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。两者主要区别见 P1 表 1.1 。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。 3.数字计算机有那些主要应用?(略) 4.冯 . 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯 . 诺依曼型计算机的主要设计思想是:存储程序和程序控制。存储程序:将解题的程序(指令序列)存放到存储器中;程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB MB GB来度量,存储 容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。单元地址:单元地址简称地址,在存储器中每个存储单元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的信息即为数据信息。

计算机组成原理名词解释题上课讲义

计算机组成原理名词 解释题

计算机组成原理(名词解析) 第一章概论 1、主机:主机中包含了除外围设备以外的所有电路部件,是一个能够独立工作的系统。 2、 CPU:中央处理器,是计算机的核心部件,同运算器和控制器,cache构成。 3、运算器:计算机中完成运算功能的部件,由ALU 和寄存器等构成。 4、 ALU:算术逻辑运算单元,执行所有的算术运算和逻辑运算。 5、外围设备:计算机的输入输出设备,包括输入设备,输出设备和外存储设备。 6、数据:编码形式的各种信息,在计算机中作为程序的操作对象。 7、指令:是一种经过编码的操作命令,它指定需要进行的操作,支配计算机中的信息传递以及主机与输入输出设备之间的信息传递,是构成计算机软件的基本元素。 8、透明:在计算机中,从某个角度看不到的特性称该特性是透明的。 9、位:计算机中的一个二进制数据代码,计算机中数据的最小表示单位。

10、字:数据运算和存储的单位,其位数取决于具体的计算机。 11、字节:衡量数据量以及存储容量的基本单位。1字节等于8位二进制信息。 12、字长:一个数据字中包含的位数,反应了计算机并行计算的能力。一般为8位、16位、32位或64位。 13、地址:给主存器中不同的存储位置指定的一个二进制编号。 14、存储器:计算机中存储程序和数据的部件,分为内存和外存。 15、总线:计算机中连接功能单元的公共线路,是一束信号线的集合,包括数据总线、地址总线和控制总线。 16、硬件:由物理元器件构成的系统,计算机硬件是一个能够执行指令的设备。 17、软件:由程序构成的系统,分为系统软件和应用软件。 18、兼容:计算机部件的通用性。 19、软件兼容:一个计算机系统上的软件能在另一个计算机系统上运行,并得到相同的结果,则称这两个计算机系统是软件兼容的。

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理知识点总结——详细版

计算机组成原理2009年12月期末考试复习大纲 第一章 1.计算机软件的分类。 P11 计算机软件一般分为两大类:一类叫系统程序,一类叫应用程序。 2.源程序转换到目标程序的方法。 P12 源程序是用算法语言编写的程序。 目标程序(目的程序)是用机器语言书写的程序。 源程序转换到目标程序的方法一种是通过编译程序把源程序翻译成目的程序,另一种是通过解释程序解释执行。 3.怎样理解软件和硬件的逻辑等价性。 P14 因为任何操作可以有软件来实现,也可以由硬件来实现;任何指令的执行可以由硬件完成,也可以由软件来完成。对于某一机器功能采用硬件方案还是软件方案,取决于器件价格,速度,可靠性,存储容量等因素。因此,软件和硬件之间具有逻辑等价性。 第二章 1.定点数和浮点数的表示方法。 P16 定点数通常为纯小数或纯整数。 X=XnXn-1…..X1X0 Xn为符号位,0表示正数,1表示负数。其余位数代表它的量值。 纯小数表示范围0≤|X|≤1-2-n 纯整数表示范围0≤|X|≤2n -1

浮点数:一个十进制浮点数N=10E.M。一个任意进制浮点数N=R E.M 其中M称为浮点数的尾数,是一个纯小数。E称为浮点数的指数,是一个整数。 比例因子的基数R=2对二进制计数的机器是一个常数。 做题时请注意题目的要求是否是采用IEEE754标准来表示的浮点数。 32位浮点数S(31)E(30-23)M(22-0) 64位浮点数S(63)E(62-52)M(51-0) S是浮点数的符号位0正1负。E是阶码,采用移码方法来表示正负指数。 M为尾数。P18 P18

2.数据的原码、反码和补码之间的转换。数据零的三种机器码的表示方法。 P21 一个正整数,当用原码、反码、补码表示时,符号位都固定为0,用二进制表示的数位值都相同,既三种表示方法完全一样。 一个负整数,当用原码、反码、补码表示时,符号位都固定为1,用二进制表示的数位值都不相同,表示方法。 1.原码符号位为1不变,整数的每一位二进制数位求反得到反码; 2.反码符号位为1不变,反码数值位最低位加1,得到补码。 例:x= (+122)10=(+1111010)2原码、反码、补码均为01111010 Y=(-122)10=(-1111010)2原码11111010、反码10000101、补码10000110 +0 原码00000000、反码00000000、补码00000000 -0 原码10000000、反码11111111、补码10000000 3.定点数和浮点数的加、减法运算:公式的运用、溢出的判断。 P63 已知x和y,用变形补码计算x+y,同时指出结果是否溢出。 (1)x=11011 y=00011 (2)x=11011 y=-10101 (3)x=-10110 y=-00001

计算机组成原理(新)

《计算机组成原理》模拟题 一.单选题 1.在多级存储体系中,”cache—主存”结构的作用是解决()的问题. A.主存容量不足 B.主存与辅存速度不匹配 C.辅存与CPU速度不匹配 D.主存与CPU速度不匹配 [答案]:D 2.用32位字长(其中1位符号位)表示定点小数是,所能表示的数值范围是(). A.[0,1-2-32] B.[0,1-2-31] C.[0,1-2-30] D.[0,1] [答案]:B 3.某计算机字长16位,它的存贮容量是64KB,若按字编址,那么它的寻址范围是(). A.0-64K B.0-32K C.0-64KB D.0-32K [答案]:B 4.50年代,为了发挥()的效率,提出了()技术,从而发展了操作系统,通过它对()进行管理和调度. A.计算机,操作系统,计算机 B.计算,并行,算法 C.硬件设备,多道程序,硬软资源 D.硬件设备,晶体管,计算机 [答案]:C 5.某SRAM芯片,存储容量为64x16位,该芯片的地址线和数据线数目为(). A.64,16 B.16,64 C.64,8 D.16,16 [答案]:D 6.用64位字长(其中1位符号位)表示定点小数时,所能表示的数值范围是(). A.[0,264-1] B.[0,263-1] C.[0,262-1] D.[0,263] [答案]:B

7.CD—ROM光盘是()型光盘,可用做计算机的()存储器和数字化多媒体设备. A.重写,内 B.只读,外 C.一次,外 D.多次,内 [答案]:B 8.CPU主要包括(). A.控制器 B.控制器.运算器.cache C.运算器和主存 D.控制器.ALU和主存 [答案]:B 9.EPROM是指(). A.读写存储器 B.只读存储器 C.闪速存储器 D.光擦除可编程只读存储器 [答案]:D 10.描述Futurebus+总线中基本概念不正确的句子是(). A.Futurebus+总线是一个高性能的同步总线标准 B.基本上是一个异步数据定时协议 C.它是一个与结构.处理器.技术有关的开发标准 D.数据线的规模在32位.64位.128位.256位中动态可变 [答案]:A 11.描述PCI总线中基本概念不正确的句子是(). A.HOST总线不仅连接主存,还可以连接多个CPU B.PCI总线体系中有三种桥,它们都是PCI设备 C.从桥连接实现的PCI总线结构不允许许多条总线并行工作 D.桥的作用可使所有的存取都按CPU的需要出现在总线上 [答案]:C 12.在某CPU中,设立了一条等待(WAIT)信号线,CPU在存储器周期中T的φ的下降沿采样WAIT线,请在下面的叙述中选出正确描述的句子:(). A.如WAIT线为高电平,则在T2周期后不进入T3周期,而插入一个TW周期 B.TW周期结束后,不管WAIT线状态如何,一定转入了T3周期 C.TW周期结束后,只要WAIT线为低,则继续插入一个TW周期,直到WAIT线变高,才转入T3周期 D.有了WAIT线,就可使CPU与任何速度的存贮器相连接,保证CPU与存贮器连接时的时序配合

(完整版)计算机组成原理期末复习资料(完美高分通过版)

计算机组成原理一、缩写词解释 CPU:中央处理器 ALU:算术逻辑单元 I/O:输入输出接口 RAM:随机存储器 SRAM:静态随机访问存储器 DRAM:动态随机访问存储器 ROM:只读存储器 PROM:用户可编程的只读存储器EPROM:紫外线可擦除可编程只读存储器FLASH:闪速存储器 EEPROM:用电可擦除可编程只读存储器ISA:工业标准总线 EISA:扩展工业标准总线 PCI:外围部件互连总线 USB:通用串行总线 RS—232C:串行通信总线 Cache:高速缓存 FIFO:先进先出算法LRU:近期最少使用算法CRC:循环冗余校验码 A/D:模拟/数字转换器 D/A:数字/模拟转换器DMA:直接存储器存取方式DMAC:直接内存访问控制器LED:发光二极管 FA:全加器 OP:操作码 CISC:复杂指令系位计算机RISC:精简指令系位计算机VLSI:超大规模集成电路LSI:大规模集成电路MAR:存储器地址寄存器MDR:存储器数据寄存器CU:控制单元 CM:控制存储器 二、选择题(自己看书吧····) 三、名词解释 1.计算机系统:由硬件和软件两大部分组成,有多种层次结构。 2.主机:CPU、存储器和输入输出接口合起来构成计算机的主机。 3.主存:用于存放正在访问的信息 4.辅存:用于存放暂时不用的信息。 5.高速缓存:用于存放正在访问信息的付本。 6.中央处理器:是计算机的核心部件,由运算器和控制器构成。 7.硬件:是指计算机实体部分,它由看得见摸得着的各种电子元器件,各类光、电、机设备 的实物组成。 软件:指看不见摸不着,由人们事先编制的具有各类特殊功能的程序组成。 8.系统软件:又称系统程序,主要用来管理整个计算机系统,监视服务,使系统资源得到合 理调度,高效运行。 应用软件:又称应用程序,它是用户根据任务需要所编制的各种程序。 9.源程序:通常由用户用各种编程语言编写的程序。 目的程序:由计算机将其翻译机器能识别的机器语言程序。 10.总线:是连接多个部件的信息传输线,是各部件共享的传输介质。 11.系统总线:是指CPU、主存、I/O设备(通过I/O接口)各大部件之间的信息传输线。 通信总线:是指用于计算机系统之间或者计算机系统与其他系统(如控制仪表、移动通信)之间的通信的线路。 按传送方式分并行和串行。串行通信是指数据在单条1位宽的传输线上,一位一位的按顺序分时传送。并行通信是指数据在多条并行1位宽的传输线上,同时由源传送到目的地。 12.带宽:单位时间内可以传送的最大的信息量。 13.机器字长:是指CPU一次并行处理数据的位数,通常与CPU的寄存器位数有关。 14.主存容量:是指主存中存放二进制代码的总位数。 15.机器数:符号位数字化,0代表正数,1代表负数。 16.定点数:小数点固定在某一位位置的数。 17.浮点数:小数点的位置可以浮动的数。 18.补码:带符号数据表示方法之一,正数的反码和原码相同,负数的反码是将二进制按位 取反后在最低位再加1.

计算机组成原理报告

武汉华夏理工学院 课程设计课程名称计算机组成原理 题目模型机设计与实现 专业计算机科学与技术 班级计算机1165 姓名 成绩 指导教师田夏利 2018 年 1 月 8日 课程设计任务书

设计题目:模型机设计与实现 设计目的: 利用基本模型机的构建与调试实验,完整地建立计算机硬件的整机模型,掌握CPU的基本结构和控制流程,掌握指令执行的基本过程。 设计任务(在规定的时间内完成下列任务) 1.掌握CISC微控制器功能与微指令格式 2.设计五条机器指令,并编写对应的微程序 3.在TDN-CMA教学实验系统中调试机器指令程序,确认运行结果 时间安排(集中时间) 1.第19周周一(1-4):全体集中讲解课程设计原理与方法 2.第19周周一~周四(1-4):分班调试,撰写设计报告 3.第19周周五:验收及答辩。 具体要求 1.周一:熟悉任务,掌握设备 2.周一:完成模型机的实验线路连接 3.周二:调试模型机,记录实验结果 4.周三:拟定课程设计报告大纲 5.周四、五:撰写并打印课程设计报告 目录 1.课程设计....................................... 错误!未定义书签。

课程设计题目...............................................错误!未定义书签。课程设计目的...............................................错误!未定义书签。实验设备...................................................错误!未定义书签。2概要设计....................................... 错误!未定义书签。原理.......................................................错误!未定义书签。数据通路框图...............................................错误!未定义书签。微指令格式.................................................错误!未定义书签。微程序流程图...............................................错误!未定义书签。微指令二进制代码表.........................................错误!未定义书签。实验步骤........................................ 错误!未定义书签。 实验接线图.............................................错误!未定义书签。 操作步骤...............................................错误!未定义书签。3实验过程....................................... 错误!未定义书签。输入数据...................................................错误!未定义书签。结果.......................................................错误!未定义书签。4设计总结....................................... 错误!未定义书签。设计体会...................................................错误!未定义书签。 1.课程设计 课程设计题目 基本模型机设计与实现

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理试题库集及答案

计算机组成原理试题库集及答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; 指令和数据以同同等地位存放于存储器内,并可以按地址访问; 指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行; 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义:

计算机组成原理复习资料

一、选择题 1.某机字长32位,采用定点小数表示,符号位为1位,尾数为31位,则原码表示法可表 示的最大正小数为_________,最小负小数为________。( ) A. +(322- 1),一(1一312-) B. +(312- 1),一(1一322-) C. +(1一312-),一(1一312-) D. +(312- 1),一(1一312-) 2.两个补码数相加,只有在_________时有可能产生溢出,在时一定不会产生溢出。( ) A.符号位相同,符号位不同 B.符号位不同,符号位相同 C.符号位都是0,符号位都是1 D.符号位都是1,符号位都是0 3.在定点二进制运算器中,加法运算一般通过( )来实现。 A.原码运算的二进制加法器 B.反码运算的二进制加法器 C.补码运算的十进制加法器 D.补码运算的二进制加法器 4.组成一个运算器需要多个部件,但下面所列()不是组成运算器的部件。 A.状态寄存器 B.数据总线 C. ALU D.通用寄存器 5.关于操作数的来源和去处,表述不正确的是( )。 A.第一个来源和去处是CPU 寄存器 B.第二个来源和去处是外设中的寄存器 C.第三个来源和去处是内存中的存贮器 D.第四个来源和去处是外存贮器 6.基址寻址方式中,操作数的有效地址等于( )。 A.基址寄存器内容加上形式地址 B.堆栈指示器内容加上形式地址

C.变址寄存器内容加上形式地址 D.程序计数器内容加上形式地址 7.在控制器中,部件( )能提供指令在内存中的地址,服务于读取指令,并接收下条将被执行的指令的地址。 A.指令指针IP C.指令寄存器IR B.地址寄存器AR D.程序计数器PC 8.指令流水线需要处理好( )3个方面问题。 A.结构相关、数据相关、控制相关 B.结构相关、数据相关、逻辑相关 C.结构相关、逻辑相关、控制相关 D.逻辑相关、数据相关、控制相关 9.若主存每个存储单元存8位数据,则( )。 A.其地址线也为8位 B.其地址线为lfi位 C.其地址线与8有关 D.其地址线与8无关 10. CPU通过指令访问主存所用的程序地址叫做( )。 A.逻辑地址 B.物理地址 C.虚拟地址 D.真实地址 11.在统一编址方式下,存储单元和I; 0设备是靠指令中的( )来区分的。 A.不同的地址 B.不同的数据 C.不同的数据和地址 D.上述都不对 12. CPU正在处理优先级低的一个中断的过程中又可以响应更高优先级中断的解决中 断优先级别问题的办法被称为( )。 A.中断嵌套 B.中断请求 C.中断响应 D.中断处理 二、判断题 1.海明校验码是对多个数据位使用多个校验位的一种检错纠错编码方案,不仅可以发现是否出错,还能发现是哪一位出错。( ) 2.只有定点数运算才可能溢出,浮点数运算不会产生溢出。( )

计算机组成原理实验报告审批稿

计算机组成原理实验报 告 YKK standardization office【 YKK5AB- YKK08- YKK2C- YKK18】

计算机组成原理 实验报告 班级: s 学号: 姓名: 地点: 时间:

计算机组成原理实验报告 一、实验目的 1.深入理解基本模型计算机的功能、组成知识; 2.深入学习计算机各类典型指令的执行流程; 3.学习微程序控制器的设计过程和相关技术,掌握LPM_ROM的配置方法。 4.在掌握部件单元电路实验的基础上,进一步将单元电路组成系统,构造一台基本模型计算机。 5.定义五条机器指令,并编写相应的微程序,上机调试,掌握计算机整机概念。掌握微程序的设计方法,学会编写二进制微指令代码表。 6.通过熟悉较完整的计算机的设计,全面了解并掌握微程序控制方式计算机的设计方法。 二、实验原理 1.在部件实验过程中,各部件单元的控制信号是人为模拟产生的,而本实验将能在微过程控制下自动产生各部件单元控制信号,实现特定的功能。实验中,计算机数据通路的控制将由微过程控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期,全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 2.指令格式 (1)指令格式 采用寄存器直接寻址方式,其格式如下:

位 765 4 3 2 10 功能OP-CODE rs rd 其中,OP-CODE为操作码,rs为源寄存器,rd为目的寄存器,并规定:Rs或rd 选定的寄存器 00 01 10 R0 R1 R2 助记符机器指令码Addr地址码功能说明 IN ADD addr STA addr OUT addr JMP addr 0 0H 1 0H XX H 2 0H XX H 3 0H XX H 4 0H XX H “INPUT”中的数据→R0 R0+[addr] ->R0 R0 -> [addr] [addr] -> BUS addr →PC 其中IN为单字长(8位二进制),其余为双字长指令,XX H 为addr对应的十六进制地址码。为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,还必须设计三个控制台操作微程序。 1,存 储器读操 作 (KRD) :下载实 验程序后 按总清除 按键 (CLR)后,控制台SWA、SWB为“0 0”时,可对RAM连续手动读入操作。 2,存储器写操作(KWE):下载实验程序后按总清除按键(CLR)后,控制台SWA、SWB为“0 1”时,可对RAM连续手动写操作。 图6-1 数据通路框图

(完整版)计算机组成原理期末考试试题及答案

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自____C__。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.___C___可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指_B_____。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是_____B_。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用___A___,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第___B___种说法是正确的。 A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是___C___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是___C___。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

计算机组成原理

计算机组成原理大型实验 报告 (2010/2011第2学期------第19周) 指导教师: 班级: 姓名: 学号: 计算机组成原理课程设计实验报告 一、目的和要求 目的: 深入了解计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,进一步理解和掌握动态微程序设计的概念;完成微程序控制的特定功能计算机的指令系统设计和调试。 要求: (1)、内容自行设计相关指令微程序;(务必利用非上机时间设计好微程序) (2)、测试程序、实验数据并上机调试; (3)、报告内容: 包括 1、设计目的 2、设计内容 3、微程序设计(含指令格式、功能、设计及微程序) 4、实验数据(测试所设计指令的程序及结果)。(具体要求安最新规范为准) 二、实验环境 TEC—2机与PC机。 三、具体内容 实验内容: (1)把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] (2)将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。 指令格式:E0DRSR,ADDR(SR,DR源、目的寄存器各4位)双字指令(控存 入口130H) 功能:DR=SR-[ADDR]

(3)转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。 指令格式:E5DRSR,ADDR双字指令(控存入口140H) 功能:ifDR==SRgotoADDRelse顺序执行。 设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z 则当DR==SR时Z=1,微程序不跳转,接着执行MEMPC(即ADDRPC),而当DR!=SR 时Z=0,微程序跳转至A4。 实验设计并分析: 第一条:把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] 指令格式: D4XX ADDR1 ADDR2 ADDR3 微程序: PC→AR,PC+1→PC:00000E00A0B55402 MEM→AR:00000E00 10F00002 MEM→Q:00000E00 00F00000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E00 10F0 0002 MEM+Q→Q:00000E01 00E0 0000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E0010F0 0002 Q→MEM,CC#=0:00290300 10200010 指令分析: PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM->Q 0000 0000 1110 0000 0000 0000 0000 1111 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM+Q->Q 0000 0000 1110 0000 0001 0000 0000 1110 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100

(新)计算机组成原理期末复习资料

《计算机组成原理》期末复习资料(一) 复习资料及试题汇编(00.1-01.7) 一、数据表示、运算和运算器部件 1.将十进制数+107/128和-52化成二进制数,再写出各自的原码、反码、补码表示(符号位和数值位共8位)。 解:+107/128 = +6BH/80H = +1101011B/10000000B =+0.1101011 –52 =-34H=–110100 原码 01101011 10110100 反码 01101011 11001011 补码 01101011 11001100 2.判断下面的二元码的编码系统是有权还是无权码,写出判断的推导过程。 十进制数二元码的编码 0 0000 1 0111 2 0110 3 0101 4 0100 5 1011 6 1010 7 1001 8 1000 9 1111 解:设4位二元吗每位分别为ABCD,且假定其为有权码。则 从4的编码0100可求得B的位权为4;从8的编码1000可求得A的位权为8; 从7的编码1001可求得D的位权为-1;从6的编码1010可求得C的位权为-2; 再用ABCD的位权分别为84-2-1来验证112359的编码值,结果均正确。所以,该编码系统为有权码。 3.说明海明码纠错的实现原理。为能发现并改正一位、也能发现二位错,校验位和数据位在位数上应满足什么关系? 解: (1)海明码是对多个数据位使用多个校验位的一种检错纠错编码方案,。它是对每个校验位 采用偶校验规则计算校验位的值,通过把每个数据位分配到几个不同的校验位的计算中去。若任何一个数据位出错,必将引起相关的几个校验位的值发生变化,这样也就可以通过检查这些校验位取值的不同情况,不仅可以发现是否出错,还可以发现是哪一位出错,从而提供了纠错检错的可能。 (2)设数据位为k,校验位为r,则应满足的关系是2r-1>=k+r。 4.什么叫二-十进制编码?什么叫有权码和无权码?够举出有权、无权码的例子。 解: (1)二-十进制编码通常是指用4位二进制码表示一位十进制数的编码方案。 (2)有权码是指4位二进制码中,每一位都有确定的位权,4位的位权之和代表该十进制 的数值。例如8421码从高到低4位二进制码的位权分别为8、4、2、1;无权码则相反,

计算机组成原理实验报告

实验报告书 实验名称:计算机组成原理实验 专业班级:113030701 学号:113030701 姓名: 联系电话: 指导老师:张光建 实验时间:2015.4.30-2015.6.25

实验二基本运算器实验 一、实验内容 1、根据原理图连接实验电路

3、比较实验结果与手工运算结果,如有错误,分析原因。 二、实验原理 运算器可以完成算术,逻辑,移位运算,数据来自暂存器A和B,运算方式由S3-S0以及CN来控制。运算器由一片CPLD来实现。ALU的输入和输出通过三态门74LS245连接到CPU内总线上。另外还有指示灯进位标志位FC和零标志位FZ。 运算器原理图: 运算器原理图 暂存器A和暂存器B的数据能在LED灯上实时显示。进位进位标志FC、零标志FZ 和数据总线D7…D0 的显示原理也是如此。 ALU和外围电路连接原理图:

ALU和外围电路连接原理图运算器逻辑功能表:

三、实验步骤 1、按照下图的接线图,连接电路。 2、将时序与操作台单元的开关KK2 置为‘单拍’档,开关KK1、KK3 置为‘运行’档。 3、打开电源开关,如果听到有‘嘀’报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。然后按动CON 单元的CLR 按钮,将运算器的A、B 和FC、FZ 清零。 4、用输入开关向暂存器A 置数。 ①拨动CON 单元的SD27…SD20 数据开关,形成二进制数01100101 (或其它数值),数据显示亮为‘1’,灭为‘0’。 ②置LDA=1,LDB=0,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数01100101 置入暂存器A 中,暂存器A 的值通过ALU 单元的 A7…A0 八位LED 灯显示。 5、用输入开关向暂存器B 置数。 ①拨动CON 单元的SD27…SD20 数据开关,形成二进制数10100111 (或其它数值)。 ②置LDA=0,LDB=1,连续按动时序单元的ST 按钮,产生一个T4 上沿,则将二进制数10100111 置入暂存器B 中,暂存器B 的值通过ALU 单元的 B7…B0 八位LED 灯显示。 6、改变运算器的功能设置,观察运算器的输出。置ALU_B=0 、LDA=0、LDB=0,然后按表2-2-1 置S3、S2、S1、S0 和Cn的数值,并观察数据总线LED 显示灯显示的结果。如置S3、S2、S1、S0 为0010 ,运算器作逻辑与运算,置S3、S2、

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

相关文档
最新文档