八位计数器

合集下载

chipschop调试计数器

chipschop调试计数器

1打开chipschop analayzer2选择下载线我们选择的是USB并行下载线,点击选项Speed可以选择下载速度,然后点击确定。

Chioschop通过下载线缆自动搜索实验板上的器件,然后可以看到如下对话框,选择OK回到主界面。

3对FPGA进行配置选择Configure,然后选择我们需要配置的bit文件,点击Select New File进行选择,选定后点击OK,chipschop就可以对器件开始进行配置4查看加载的核在下面的控制台中不仅可以看到chipschop的一些基本信息,还可以看到chipschop找到了两个核在new project的菜单栏下可以看到我们插入的两个核5VIO的仿真5.1进入VIO核的控制界面双击VIO的核就可以进入VIO的控制从屏幕上可以看出,这些数字的变化正是计数器的跳变规律,AsyncIn【0~7】正是我们八位计数器的输出,而AsyncOut是我们的复位信号。

5.2添加总线将AsyncIn【0~7】加入到一个命名为counter的总线中去,点击new bus然后看到如下界面5.3端口重命名对端口进行命名,右键点击AsyncIn选择rename,输入你所需要的名称。

对AsyncOut进行同样的操作,完成后如下图所示5.4更改显示进制Counter8是按照默认的十六进制来显示的,我们可以按照我们的需要选择显示方式,右击counter85.5虚拟按键开关对于控制信号如reset我们可以设置它的虚拟动作来模拟实际情况在type中选择相应的选项Push Button中有high和low选项,表示按键按下的时候分别表示1和0Toggle Button表示每按下一次,reset的值就改变一次,适合数值需要观测一段时间的情况6ILA核的仿真ILA核是一个比较大的核,容许有多个触发端口6.1waveform窗口双击waveform,打开waveform窗口触发端口的设定和触发端口的位数是在ILA核中设置的6.2Trigger setup窗口双击Trigger setup,打开Trigger setup窗口。

单片机整理试卷

单片机整理试卷

一、填空题PC,程序计数器,16位数。

PCL,是其低八位;PCH,是其高八位。

1. AT89S51单片机为 8 位单片机2. MCS-51系列单片机的典型芯片分别为 8031 、 8051 、 8751 。

3. AT89S51的异步通信口为全双工(单工/半双工/全双工)6. AT89S51单片机指令系统的寻址方式有__寄存器寻址__、____直接寻址____、___寄存器间接寻址_____、_立即寻址____、基址寄存器加变址寄存器寻址。

7. 如果(A)=34H,(R7)=0ABH,执行XCH A, R7;结果(A)= 0ABH ,(R7)= 34H 。

8. 82C55可以扩展 3 个并行口,其中8 条口线具有位操作功能;9. 当单片机复位时PSW= 00 H,这时当前的工作寄存器区是0区,R4所对应的存储单元地址为04 H。

当单片机复位时PSW=00H,这时当前的工作寄存器区是0区,R4所对应的存储单元地址为04H如果工作寄存器区是2区,PSW=10H R4所对应的存储单元地址为14H如果是单片机复位时工作寄存器区只能是0区PSW=00H 不会是工作寄存器2区10. 若A中的内容为67H,那么,P标志位为 1 。

P标志位是程序状态寄存器PSW中的一个位,当A中的二进制数1的个数是奇数是,P=1,当1的个数是偶数时,P=0,因些,A=67H=0110 0111B,有5个1,是奇数,则P=1。

不用做什么,只要将需要判断的数送到A 中,P标志位就会自动变化了。

11. 74LS138是具有3个输入的译码器芯片,其输出作为片选信号时,最多可以选中8 片芯片。

14. LJMP的跳转范围是64K,AJMP的跳转范围是2K B,SJMP的跳转范围是±128 B(或256B)15. 由AT89S51组成的单片机系统在工作时,EA*引脚应该接高电平(或1);11. AT89S51外部程序存储器的最大可扩展容量是 64K ,其地址范围是0000H - FFFFH 。

IC资料-HT48R10A-1_HT48C10-1输入_输出型八位单片机

IC资料-HT48R10A-1_HT48C10-1输入_输出型八位单片机

PC1
E N /D IS
M
W DT
U
X
fS Y S /4
PC C PO RT C PC
B Z /B Z PBC PO RT B PB
W DT O SC P C 0~P C 4
P B 0~P B 7
fS Y S R TC O SC
PAC PO RT A PA
P A 0~P A 7
引脚图
PB5 1 PB4 2 PA3 3 PA2 4 PA1 5 PA0 6 PB3 7 PB2 8 P B 1 /B Z 9 P B 0 /B Z 1 0 V S S 11 P C 0 /IN T 1 2
拥有低功耗、I/O 口稳定性高、定时器功能、振荡选择、省电和唤醒功能、看门狗定时器、蜂鸣器驱动、 以及低价位等优势,使此款多功能芯片可以广泛地适用于各种应用,例如工业控制、消费类产品、子系统控 制器等。
Rev. 1.90
2
2005-11-04
HT48R10A-1/HT48C10-1
方框图
IN T /P C 0
指令的读取和执行是以流水线方式进行的, 这种方式在一个指令周期进行读取指令操作,而在下一个 指令周期进行解码与执行该指令。因此,流水线方式使多数指令能在一个周期内执行完成。但如果渉及到 的指令要改变程序计数器的值,就需要花两个指令周期来完成这一条指令。
T1
T2
T3
T4
24 P B 6 23 P B 7 22 P A 4 21 P A 5 20 P A 6 19 P A 7 1 8 O S C 2 /P C 4 1 7 O S C 1 /P C 3 16 V D D 15 R E S 14 P C 2 1 3 P C 1 /T M R

74HC系列通用逻辑电路功能表

74HC系列通用逻辑电路功能表

74HC00 TTL 2输入端四与非门74HC01 TTL 集电极开路2输入端四与非门74HC02 TTL 2输入端四或非门74HC03 TTL 集电极开路2输入端四与非门74HC04 TTL 六反相器74HC05 TTL 集电极开路六反相器74HC06 TTL 集电极开路六反相高压驱动器74HC07 TTL 集电极开路六正相高压驱动器74HC08 TTL 2输入端四与门74HC09 TTL 集电极开路2输入端四与门74HC10 TTL 3输入端3与非门74HC107 TTL 带清除主从双J-K触发器74HC109 TTL 带预置清除正触发双J-K触发器74HC11 TTL 3输入端3与门74HC112 TTL 带预置清除负触发双J-K触发器74HC12 TTL 开路输出3输入端三与非门74HC121 TTL 单稳态多谐振荡器74HC122 TTL 可再触发单稳态多谐振荡器74HC123 TTL 双可再触发单稳态多谐振荡器74HC125 TTL 三态输出高有效四总线缓冲门74HC126 TTL 三态输出低有效四总线缓冲门74HC13 TTL 4输入端双与非施密特触发器74HC132 TTL 2输入端四与非施密特触发器74HC133 TTL 13输入端与非门74HC136 TTL 四异或门74HC138 TTL 3-8线译码器/复工器74HC139 TTL 双2-4线译码器/复工器74HC14 TTL 六反相施密特触发器74HC145 TTL BCD—十进制译码/驱动器74HC15 TTL 开路输出3输入端三与门74HC150 TTL 16选1数据选择/多路开关74HC151 TTL 8选1数据选择器74HC153 TTL 双4选1数据选择器74HC154 TTL 4线—16线译码器74HC155 TTL 图腾柱输出译码器/分配器74HC156 TTL 开路输出译码器/分配器74HC157 TTL 同相输出四2选1数据选择器74HC158 TTL 反相输出四2选1数据选择器74HC16 TTL 开路输出六反相缓冲/驱动器74HC160 TTL 可预置BCD异步清除计数器74HC161 TTL 可予制四位二进制异步清除计数器74HC162 TTL 可预置BCD同步清除计数器74HC163 TTL 可予制四位二进制同步清除计数器74HC164 TTL 八位串行入/并行输出移位寄存器74HC165 TTL 八位并行入/串行输出移位寄存器74HC169 TTL 二进制四位加/减同步计数器74HC17 TTL 开路输出六同相缓冲/驱动器74HC170 TTL 开路输出4×4寄存器堆74HC173 TTL 三态输出四位D型寄存器74HC174HC TTL 带公共时钟和复位六D触发器74HC175 TTL 带公共时钟和复位四D触发器74HC180 TTL 9位奇数/偶数发生器/校验器74HC181 TTL 算术逻辑单元/函数发生器74HC185 TTL 二进制—BCD代码转换器74HC190 TTL BCD同步加/减计数器74HC191 TTL 二进制同步可逆计数器74HC192 TTL 可预置BCD双时钟可逆计数器74HC193 TTL 可预置四位二进制双时钟可逆计数器74HC194 TTL 四位双向通用移位寄存器74HC196 TTL 十进制/二-十进制可预置计数锁存器74HC197 TTL 二进制可预置锁存器/计数器74HC20 TTL 4输入端双与非门74HC21 TTL 4输入端双与门74HC22 TTL 开路输出4输入端双与非门74HC221 TTL 双/单稳态多谐振荡器74HC240 TTL 八反相三态缓冲器/线驱动器74HC241 TTL 八同相三态缓冲器/线驱动器74HC243 TTL 四同相三态总线收发器74HC244 TTL 八同相三态缓冲器/线驱动器74HC245 TTL 八同相三态总线收发器74HC247 TTL BCD—7段15V输出译码/驱动器74HC248 TTL BCD—7段译码/升压输出驱动器74HC249 TTL BCD—7段译码/开路输出驱动器74HC251 TTL 三态输出8选1数据选择器/复工器74HC253 TTL 三态输出双4选1数据选择器/复工器74HC256 TTL 双四位可寻址锁存器74HC257 TTL 三态原码四2选1数据选择器/复工器74HC258 TTL 三态反码四2选1数据选择器/复工器74HC259 TTL 八位可寻址锁存器/3-8线译码器74HC26 TTL 2输入端高压接口四与非门74HC260 TTL 5输入端双或非门74HC266 TTL 2输入端四异或非门74HC27 TTL 3输入端三或非门74HC273 TTL 带公共时钟复位八D触发器74HC279 TTL 四图腾柱输出S-R锁存器74HC28 TTL 2输入端四或非门缓冲器74HC283 TTL 4位二进制全加器74HC290 TTL 二/五分频十进制计数器74HC293 TTL 二/八分频四位二进制计数器74HC295 TTL 四位双向通用移位寄存器74HC298 TTL 四2输入多路带存贮开关74HC299 TTL 三态输出八位通用移位寄存器74HC30 TTL 8输入端与非门74HC32 TTL 2输入端四或门74HC322 TTL 带符号扩展端八位移位寄存器74HC323 TTL 三态输出八位双向移位/存贮寄存器74HC33 TTL 开路输出2输入端四或非缓冲器74HC347 TTL BCD—7段译码器/驱动器74HC352 TTL 双4选1数据选择器/复工器74HC353 TTL 三态输出双4选1数据选择器/复工器74HC365 TTL 门使能输入三态输出六同相线驱动器74HC365 TTL 门使能输入三态输出六同相线驱动器74HC366 TTL 门使能输入三态输出六反相线驱动器74HC367 TTL 4/2线使能输入三态六同相线驱动器74HC368 TTL 4/2线使能输入三态六反相线驱动器74HC37 TTL 开路输出2输入端四与非缓冲器74HC373 TTL 三态同相八D锁存器74HC374 TTL 三态反相八D锁存器74HC375 TTL 4位双稳态锁存器74HC377 TTL 单边输出公共使能八D锁存器74HC378 TTL 单边输出公共使能六D锁存器74HC379 TTL 双边输出公共使能四D锁存器74HC38 TTL 开路输出2输入端四与非缓冲器74HC380 TTL 多功能八进制寄存器74HC39 TTL 开路输出2输入端四与非缓冲器74HC390 TTL 双十进制计数器74HC393 TTL 双四位二进制计数器74HC40 TTL 4输入端双与非缓冲器74HC42 TTL BCD—十进制代码转换器74HC352 TTL 双4选1数据选择器/复工器74HC353 TTL 三态输出双4选1数据选择器/复工器74HC365 TTL 门使能输入三态输出六同相线驱动器74HC366 TTL 门使能输入三态输出六反相线驱动器74HC367 TTL 4/2线使能输入三态六同相线驱动器74HC368 TTL 4/2线使能输入三态六反相线驱动器74HC37 TTL 开路输出2输入端四与非缓冲器74HC373 TTL 三态同相八D锁存器74HC374 TTL 三态反相八D锁存器74375 TTL 4位双稳态锁存器74377 TTL 单边输出公共使能八D锁存器74378 TTL 单边输出公共使能六D锁存器74379 TTL 双边输出公共使能四D锁存器7438 TTL 开路输出2输入端四与非缓冲器74380 TTL 多功能八进制寄存器7439 TTL 开路输出2输入端四与非缓冲器74390 TTL 双十进制计数器74393 TTL 双四位二进制计数器7440 TTL 4输入端双与非缓冲器7442 TTL BCD—十进制代码转换器74447 TTL BCD—7段译码器/驱动器7445 TTL BCD—十进制代码转换/驱动器74450 TTL 16:1多路转接复用器多工器74451 TTL 双8:1多路转接复用器多工器74453 TTL 四4:1多路转接复用器多工器7446 TTL BCD—7段低有效译码/驱动器74460 TTL 十位比较器74461 TTL 八进制计数器74465 TTL 三态同相2与使能端八总线缓冲器74466 TTL 三态反相2与使能八总线缓冲器74467 TTL 三态同相2使能端八总线缓冲器74468 TTL 三态反相2使能端八总线缓冲器74469 TTL 八位双向计数器7447 TTL BCD—7段高有效译码/驱动器7448 TTL BCD—7段译码器/内部上拉输出驱动74490 TTL 双十进制计数器74491 TTL 十位计数器74498 TTL 八进制移位寄存器7450 TTL 2-3/2-2输入端双与或非门74502 TTL 八位逐次逼近寄存器74503 TTL 八位逐次逼近寄存器7451 TTL 2-3/2-2输入端双与或非门74533 TTL 三态反相八D锁存器74534 TTL 三态反相八D锁存器7454 TTL 四路输入与或非门74540 TTL 八位三态反相输出总线缓冲器7455 TTL 4输入端二路输入与或非门74563 TTL 八位三态反相输出触发器74564 TTL 八位三态反相输出D触发器74573 TTL 八位三态输出触发器74574 TTL 八位三态输出D触发器74645 TTL 三态输出八同相总线传送接收器74670 TTL 三态输出4×4寄存器堆7473 TTL 带清除负触发双J-K触发器7474 TTL 带置位复位正触发双D触发器7476 TTL 带预置清除双J-K触发器7483 TTL 四位二进制快速进位全加器7485 TTL 四位数字比较器7486 TTL 2输入端四异或门7490 TTL 可二/五分频十进制计数器7493 TTL 可二/八分频二进制计数器7495 TTL 四位并行输入\输出移位寄存器7497 TTL 6位同步二进制乘法器注:同型号的74系列、74HC系列、74LS系列芯片,逻辑功能上是一样的。

实验六 8位数码管扫描显示

实验六  8位数码管扫描显示

实验六 8位数码管扫描显示一、实验目的:1、了解7段数码管的工作原理; 2、学会用于VHDL语言进行程序设计。

二、实验原理:在本实验中,按一下key0,完成复位功能, clk5选择1Hz,clk3选择的频率越高越好。

LED的显示模块原理:LED有段码和位码之分,所谓段码就是让LED显示出8.的八位数据,一般情况下要通过一个译码电路,将输入的4位2进制数转换为与LED显示对应的8位段码。

位码也就是LED的显示使能端,对于共阴级的LED而言,低电平使能(在本实验箱中所有的LED均位共阴级的),在本实验中设计了一个3位的循环计数器,将计数结果输入到3-8译码器74ls138,译码结果输出即可依次使能每个LED。

例如:要让8个LED同时工作,显示数据,就是要不停的循环扫描每一个LED,并在使能每一个LED的同时,输入所需显示的数据对应的8位段码。

虽然8个LED是依次显示,但是受视觉分辨率的影响,看到的现象是8个LED同时工作。

三、实验连线:1、将EP2C5适配板左下角的JTAG用十芯排线和万用下载区左下角的SOPC JTAG 口连接起来,万用下载区右下角的电源开关拨到 SOPC下载的一边2、实验板右下方频率源 CLK1接任意频率作为扫描频率。

3、将实验板左端的JP103全部用短路帽接上(共八个)。

四、实验内容与步骤:(程序:EP2C5\scan_seg8\scan_seg8.sof)1、打开Quartus II 6.0软件,点击“File→OpenP roject”出现如下的对话框(图6.1),选中scan_seg8,点打开即可;图6.12、点击“Tools-Programmer”后出现如下图下载对话窗口,3、点”Edit→Add File………”出现如下对话框(图6.2),在图6.3对话框中,选中EP2C5/scan_seg8/scan_seg8.sof项目后点击打开,回到Programmer对话框, 在下载对话窗口中“选中Program/ Configure”,点击Start”即进行下载。

习题6答案——精选推荐

习题6答案——精选推荐

习题6答案习题 66.1 试分析图6.83所⽰同步时序电路,写出驱动⽅程、状态⽅程和输出⽅程,并作出状态转换图,设初始状态全为0。

答案6.111001100011010D Q Q ,D Q ,Q Q Q ,Q Q ,Y Q Q ++=====n n 。

状态转换图见图P6.16.2 试分析图6.84(a)所⽰电路,写出驱动⽅程、状态⽅程和输出⽅程,并画出对应输⼊信号X 的输出Q 2、Q 1和Y 的波形(设起始状态为00)。

答案6.2 时序图见图P6.2。

驱动⽅程X K X J X K XQ J 22121====状态⽅程221n 21121n 1Q X XQ Q Q X Q XQ Q +=+=++ 输出⽅程1XQ Y =6.3 试分析图6.85所⽰电路的计数顺序,画出电路的状态转换图,判断是模⼏计数器,有⽆⾃启动功能。

(a) 电路 (b) 输⼊波形图6.84 题图6.2图6.83 题图6.1第6章时序逻辑电路98图6.85 题图6.3答案 6.3 2323123312121231Q Q Y Q K Q Q J Q Q K Q J 1K Q Q J =======模七进制6.4 试分析图6.86时序电路的逻辑功能,写出电路的驱动⽅程、状态⽅程和输出⽅程,画出电路的状态转换图。

答案6.4 驱动⽅程1K Q Q J 1K 1J 1K Q J 321322131======状态⽅程3121n 3121n 2131n 1Q Q Q Q Q Q Q Q Q Q =↓?==+++6.5 试分析图6.87所⽰的时序电路,写出电路的驱动⽅程、状态⽅程和输出⽅程,画出电路的状态转换图,设初始状态全为0。

答案6.5 D 0 = A ⊕Q 0,T 1 = Q 0,Y = AQ 1,状态转换图见图P6.5。

图6.86 题图6.4 图6.87 题图6.56.6 试分析图6.88所⽰的时序电路,画出在时钟CLK 作⽤下,Q 1的输出波形。

八进制异步减法计数器电路

八进制异步减法计数器电路1.引言1.1 概述概述部分的内容应该对八进制异步减法计数器电路进行简要介绍和概括。

以下是一个示例:概述八进制异步减法计数器电路是一种十分重要的数字逻辑电路,用于在数字系统中实现减法操作。

与传统的二进制计数器相比,八进制异步减法计数器电路可以实现更高效的减法运算,并且具有更少的硬件资源消耗。

该计数器电路采用了八进制的数字表示方式,可以进行八进制数字的递减操作,同时也支持加法运算。

它通过控制输入信号的方式来实现数字的减法操作,其中异步的特性使得每个位的减法可以独立地进行,无需考虑其他位的状态。

本文将首先介绍八进制异步减法计数器电路的原理,包括其基本的减法运算原理和电路结构。

然后,我们将详细讨论该计数器电路的设计方法,包括输入输出信号的定义、逻辑门电路的组合以及异步控制信号的生成方式。

最后,我们将对该计数器电路进行总结,并展望其在数字系统中的广泛应用前景。

通过深入了解并掌握八进制异步减法计数器电路的原理和设计方法,读者将能够更好地应用该电路实现数字减法运算,并在实际应用中发挥其高效性和可靠性。

接下来我们将分别从原理和设计两个方面进行详细介绍。

1.2文章结构本文主要介绍了八进制异步减法计数器电路的原理和设计方法。

文章分为引言、正文和结论三个部分。

引言部分主要概述了本文的目的和内容。

首先,我们介绍了八进制异步减法计数器电路的背景和意义。

随着计算机技术的不断发展,减法计数器在数字电路中的应用变得越来越广泛,特别是在计数和控制系统中。

然而,常规的二进制计数器在某些应用场景下由于计数位数较多、工作速度较慢等问题会显得不够高效。

因此,八进制异步减法计数器电路作为一种新型的计数器电路被广泛研究和应用。

其次,本文介绍了文章的结构安排,具体阐述了各个部分的内容和逻辑关系。

正文部分分为两个小节:八进制异步减法计数器电路的原理和八进制异步减法计数器电路的设计。

在2.1节,我们详细介绍了八进制异步减法计数器电路的原理。

单片机试卷及答案9

一、填空题(15分,每空1分)1.MCS—51单片机的P0—P4口均是I/O口,其中的P0口和P2口除了可以进行数据的输入、输出外,通常还用来构建系统的和,在P0—P4口中,为真正的双向口,为准双向口。

2.MCS—5l单片机的堆栈区只可设置在,堆栈寄存器5P是位寄存器。

3.MCS—51单片机外部中断请求信号有电平方式和,在电平方式下,当采集到INT0、INT1的有效信号为时,激活外部中断。

4.定时器/计数器的工作方式3是指的将拆成两个独立的8位计数器。

而另一个定时器/计数器此时通常只可作为使用。

5.MCS—96单片机的最大寻址空间是,该空间的地址范围为,系统上电及复位的程序入口地址为,芯片配置字节CCB的地址为。

二、简答题(15分,每小题5分)1.51系列单片机具有几个中断源,分别是如何定义的其中哪些中断源可以被定义为高优先级中断,如何定义2.各中断源对应的中断服务程序的入口地址是否能任意设定3.如果想将中断服务程序放置在程序存储区的任意区域,在程序中应该作何种设置请举例加以说明。

三、参数计算题(16分)已知一单片机系统的外接晶体振荡器的振荡频率为11.059MHz,请计算该单片机系统的拍节P、状态S、机器周期所对应的时间是多少指令周期中的单字节双周期指令的执行时间是多少四、改错题(共5分,每小题1分)以给出的指令操作码为依据,请判断MCS—5l单片机的下列各条指令的书写格式是否有错误,如有请说明错误原因。

1.MUL R0R12.MOV A,@R73.MOV A,#3000H4.MOVC @A+DPTR,A5.LJMP #1000H五、寻址方式(5分,每问1分)说明MCS—51单片机的下列各条指令中源操作数的寻址方式(可直接在每条指令后面书写)1.ANL A,20H2.ADDC A,#20H3.JZ rel4.CLR C5.RRA六、使用简单指令序列完成以下操作(12分)1.请将片外RAM20H—25H单元清零2.请将ROM3000H单元内容送R7七、编程题(18分)已知一MCS—51单片机系统的片内RAM 20H单元存放了一个8位无符号数7AH,片外扩展RAM的8000H存放了一个8位无符号数86H,试编程完成以上两个单元中的无符号数相加,并将和值送往片外RAM的01H、00H单元中,同时将所编写程序运行完成后的数据和状态添入下表中给出的PSW的有关位以及寄存器A、DPTR和RAM单元中。

数字电路实验3计数器

实验八计数器一、实验目的1.熟悉由集成‎触发器构成‎的计数器电‎路及其工作‎原理。

2.熟悉掌握常‎用中规模集‎成电路计数‎器及其应用‎方法。

二、实验原理和‎电路所谓计数,就是统计脉‎冲的个数,计数器就是‎实现“计数”操作的时序‎逻辑电路。

计数器的应‎用十分广泛‎,不仅用来计‎数,也可用作分‎频、定时等。

计数器种类‎繁多。

根据计数体‎制的不同,计数器可分‎成二进制(即2”进制)计数器和非‎二进制计数‎器两大类。

在非二进制‎计数器中,最常用的是‎十进制计数‎器,其它的一般‎称为任意进‎制计数器。

根据计数器‎的增减趋势‎不同,计数器可分‎为加法计数‎器—随着计数脉‎冲的输入而‎递增计数的‎;减法计数器‎—随着计数脉‎冲的输入而‎递减的;可逆计数器‎—既可递增,也可递减的‎。

根据计数脉‎冲引入方式‎不同,计数器又可‎分为同步计‎数器—计数脉冲直‎接加到所有‎触发器的时‎钟脉冲(CP)输入端;异步计数器‎—计数脉冲不‎是直接加到‎所有触发器‎的时钟脉冲‎(CP)输入端。

1.异步二进制‎加法计数器‎异步二进制‎加法计数器‎是比较简单‎的。

图1.8.1(a)是由4个J‎K(选用双JK‎74LS1‎12)触发器构成‎的4位二进‎制(十六进制)异步加法计‎数器,图1.8.1(b)和(c)分别为其状‎态图和波形‎图。

对于所得状‎态图和波形‎图可以这样‎理解:触发器FF‎O(最低位)在每个计数‎沿(CP)的下降沿(1 → 0)翻转,触发器FF‎1的C P端‎接FF0的‎Q0端,因而当FF‎O(Q O)由1→ 0时,FF1翻转‎。

类似地,当FF1(Q1)由1→0时,FF2翻转‎,FF2(Q2)由1→0时,FF3翻转‎。

4位二进制‎异步加法计‎数器从起始‎态0000‎到1111‎共十六个状‎态,因此,它是十六进‎制加法计数‎器,也称模16‎加法计数器‎(模M=16)。

从波形图可‎看到,Q0 的周期是C‎P周期的二‎倍;Q1是Q0的二‎倍,CP的四倍‎;Q2是Q1 的二倍,Q0的四倍‎,CP的八倍‎;Q3是Q2‎的二倍,Q1的四倍‎,Q0的八倍‎,CP的十六‎倍。

74系列TTL电路检索

74系列TTL电路检索7400 TTL 2输入端四与非门7401 TTL 集电极开路2输入端四与非门7402 TTL 2输入端四或非门7403 TTL 集电极开路2输入端四与非门7404 TTL 六反相器7405 TTL 集电极开路六反相器7406 TTL 集电极开路六反相高压驱动器7407 TTL 集电极开路六正相高压驱动器7408 TTL 2输入端四与门7409 TTL 集电极开路2输入端四与门7410 TTL 3输入端3与非门74107 TTL 带清除主从双J-K触发器74109 TTL 带预置清除正触发双J-K触发器7411 TTL 3输入端3与门74112 TTL 带预置清除负触发双J-K触发器7412 TTL 开路输出3输入端三与非门74121 TTL 单稳态多谐振荡器74122 TTL 可再触发单稳态多谐振荡器74123 TTL 双可再触发单稳态多谐振荡器74125 TTL 三态输出高有效四总线缓冲门74126 TTL 三态输出低有效四总线缓冲门7413 TTL 4输入端双与非施密特触发器74132 TTL 2输入端四与非施密特触发器74133 TTL 13输入端与非门74136 TTL 四异或门74138 TTL 3-8线译码器/复工器74139 TTL 双2-4线译码器/复工器7414 TTL 六反相施密特触发器74145 TTL BCD—十进制译码/驱动器7415 TTL 开路输出3输入端三与门74150 TTL 16选1数据选择/多路开关74151 TTL 8选1数据选择器74153 TTL 双4选1数据选择器74154 TTL 4线—16线译码器74155 TTL 图腾柱输出译码器/分配器74156 TTL 开路输出译码器/分配器74157 TTL 同相输出四2选1数据选择器74158 TTL 反相输出四2选1数据选择器7416 TTL 开路输出六反相缓冲/驱动器74160 TTL 可预置BCD异步清除计数器74161 TTL 可予制四位二进制异步清除计数器74162 TTL 可预置BCD同步清除计数器74163 TTL 可予制四位二进制同步清除计数器74164 TTL 八位串行入/并行输出移位寄存器74165 TTL 八位并行入/串行输出移位寄存器74166 TTL 八位并入/串出移位寄存器74169 TTL 二进制四位加/减同步计数器7417 TTL 开路输出六同相缓冲/驱动器74170 TTL 开路输出4×4寄存器堆74173 TTL 三态输出四位D型寄存器74174 TTL 带公共时钟和复位六D触发器74175 TTL 带公共时钟和复位四D触发器74180 TTL 9位奇数/偶数发生器/校验器74181 TTL 算术逻辑单元/函数发生器74185 TTL 二进制—BCD代码转换器74190 TTL BCD同步加/减计数器74191 TTL 二进制同步可逆计数器74192 TTL 可预置BCD双时钟可逆计数器74193 TTL 可预置四位二进制双时钟可逆计数器74194 TTL 四位双向通用移位寄存器74195 TTL 四位并行通道移位寄存器74196 TTL 十进制/二-十进制可预置计数锁存器74197 TTL 二进制可预置锁存器/计数器7420 TTL 4输入端双与非门7421 TTL 4输入端双与门7422 TTL 开路输出4输入端双与非门74221 TTL 双/单稳态多谐振荡器74240 TTL 八反相三态缓冲器/线驱动器74241 TTL 八同相三态缓冲器/线驱动器74243 TTL 四同相三态总线收发器74244 TTL 八同相三态缓冲器/线驱动器74245 TTL 八同相三态总线收发器74247 TTL BCD—7段15V输出译码/驱动器74248 TTL BCD—7段译码/升压输出驱动器74249 TTL BCD—7段译码/开路输出驱动器74251 TTL 三态输出8选1数据选择器/复工器74253 TTL 三态输出双4选1数据选择器/复工器74256 TTL 双四位可寻址锁存器74257 TTL 三态原码四2选1数据选择器/复工器74258 TTL 三态反码四2选1数据选择器/复工器74259 TTL 八位可寻址锁存器/3-8线译码器7426 TTL 2输入端高压接口四与非门74260 TTL 5输入端双或非门74266 TTL 2输入端四异或非门7427 TTL 3输入端三或非门74273 TTL 带公共时钟复位八D触发器74279 TTL 四图腾柱输出S-R锁存器7428 TTL 2输入端四或非门缓冲器74283 TTL 4位二进制全加器74290 TTL 二/五分频十进制计数器74293 TTL 二/八分频四位二进制计数器74295 TTL 四位双向通用移位寄存器74298 TTL 四2输入多路带存贮开关74299 TTL 三态输出八位通用移位寄存器7430 TTL 8输入端与非门7432 TTL 2输入端四或门74322 TTL 带符号扩展端八位移位寄存器74323 TTL 三态输出八位双向移位/存贮寄存器7433 TTL 开路输出2输入端四或非缓冲器74347 TTL BCD—7段译码器/驱动器74352 TTL 双4选1数据选择器/复工器74353 TTL 三态输出双4选1数据选择器/复工器74365 TTL 门使能输入三态输出六同相线驱动器74366 TTL 门使能输入三态输出六反相线驱动器74367 TTL 4/2线使能输入三态六同相线驱动器74368 TTL 4/2线使能输入三态六反相线驱动器7437 TTL 开路输出2输入端四与非缓冲器74373 TTL 三态同相八D锁存器74374 TTL 三态反相八D锁存器74375 TTL 4位双稳态锁存器74377 TTL 单边输出公共使能八D锁存器74378 TTL 单边输出公共使能六D锁存器74379 TTL 双边输出公共使能四D锁存器7438 TTL 开路输出2输入端四与非缓冲器74380 TTL 多功能八进制寄存器7439 TTL 开路输出2输入端四与非缓冲器74390 TTL 双十进制计数器74393 TTL 双四位二进制计数器7440 TTL 4输入端双与非缓冲器7442 TTL BCD—十进制代码转换器74447 TTL BCD—7段译码器/驱动器7445 TTL BCD—十进制代码转换/驱动器74450 TTL 16:1多路转接复用器多工器74451 TTL 双8:1多路转接复用器多工器74453 TTL 四4:1多路转接复用器多工器7446 TTL BCD—7段低有效译码/驱动器74460 TTL 十位比较器74461 TTL 八进制计数器74465 TTL 三态同相2与使能端八总线缓冲器74466 TTL 三态反相2与使能八总线缓冲器74467 TTL 三态同相2使能端八总线缓冲器74468 TTL 三态反相2使能端八总线缓冲器74469 TTL 八位双向计数器7447 TTL BCD—7段高有效译码/驱动器7448 TTL BCD—7段译码器/内部上拉输出驱动74490 TTL 双十进制计数器74491 TTL 十位计数器74498 TTL 八进制移位寄存器7450 TTL 2-3/2-2输入端双与或非门74502 TTL 八位逐次逼近寄存器74503 TTL 八位逐次逼近寄存器7451 TTL 2-3/2-2输入端双与或非门74533 TTL 三态反相八D锁存器74534 TTL 三态反相八D锁存器7454 TTL 四路输入与或非门74540 TTL 八位三态反相输出总线缓冲器7455 TTL 4输入端二路输入与或非门74563 TTL 八位三态反相输出触发器74564 TTL 八位三态反相输出D触发器74573 TTL 八位三态输出触发器74574 TTL 八位三态输出D触发器74645 TTL 三态输出八同相总线传送接收器74670 TTL 三态输出4×4寄存器堆7473 TTL 带清除负触发双J-K触发器7474 TTL 带置位复位正触发双D触发器7476 TTL 带预置清除双J-K触发器7483 TTL 四位二进制快速进位全加器7485 TTL 四位数字比较器7486 TTL 2输入端四异或门7490 TTL 可二/五分频十进制计数器7493 TTL 可二/八分频二进制计数器7495 TTL 四位并行输入\输出移位寄存器7497 TTL 6位同步二进制乘法器ICL7106,ICL7107 3位半A/D转换器DAC0830/DAC0832 8位D/A转换器ADC0831/ADC0832/ADC0834/ADC0838 8位A/D转换器ADC0808/ADC0809 8位A/D转换器ADC0802/ADC0803/ADC0804 8位A/D转换器AD7520/AD7521/AD7530/AD7521 D/A转换器4N35/4N36/4N37 光电耦合器ICL7116,ICL7117 3位半A/D转换器ICL7650 载波稳零运算放大器ICL7660/MAX1044 CMOS电源电压变换器ICL8038 单片函数发生器ICM7216 10MHz通用计数器ICM7226 带BCD输出10MHz通用计数器ISO2-CMOS MT8880C DTMF 收发器LF351 JFET输入运算放大器LF353 JFET输入宽带高速双运算放大器LM117/LM317A/LM317 三端可调电源LM124/LM124/LM324 低功耗四运算放大器LM137/LM337 三端可调负电压调整器LM139/LM239/LM339 低功耗四电压比较器LM158/LM258/LM358 低功耗双运算放大器LM193/LM293/LM393 低功耗双电压比较器LM201/LM301 通用运算放大器 [中文资料]LM231/LM331 精密电压—频率转换器LM285/LM385 微功耗基准电压二极管 [中文资料]LM308A 精密运算放大器LM386 低压音频小功率放大器LM399 带温度稳定器精密电压基准电路LM431 可调电压基准电路LM567/LM567C 锁相环音频译码器LM741 运算放大器LM831 双低噪声音频功率放大器LM833 双低噪声音频放大器LM8365 双定时LED电子钟电路MAX038 0.1Hz-20MHz 单片函数发生器MAX232 5V电源多通道RS232驱动器/接收器MC1403 2.5V精密电压基准电路MC1404 5.0v/6.25v/10v 基准电压MC1413/MC1416 七路达林顿驱动器MC145026/MC145027/MC145028 编码器/译码器MC145403-5/8 RS232驱动器/接收器MC145406 RS232驱动器/接收器MC145407 RS232驱动器/接收器MC145583 RS232驱动器/接收器MC145740 DTMF 接收器MC1488 二输入与非四线路驱动器MC1489 四施密特可控线路驱动器MC2833 低功率调频发射系统MC3362 低功率调频窄频带接收器MC4558 双运算放大器MC7800系列 1.0A三端正电压稳压器MC78L00系列 0.1A三端正电压稳压器 [中文资料]MC78M00系列 0.5A三端正电压稳压器MC78T00系列 3.0A正电压稳压器 [中文资料]MC7900系列 1.0A三端负电压稳压器 [中文资料]MC79L00系列 0.1A三端负电压稳压器 [中文资料]MC79M00系列 0.5A三端负电压稳压器 [中文资料] Microchip PIC系列单片机RS232通讯应用MM5369 3.579545MHz-60Hz 17级分频振荡器MOC3009/MOC3012 双向可控硅输出光电耦合器MOC3020/MOC3023 双向可控硅输出光电耦合器MOC3081/MOC3082/MOC3083 过零双向可控硅输出光电耦合器MOC8050 无基极达林顿晶体管输出光电耦合器MOC8111 无基极晶体管输出光电耦合器MT8870 DTMF双音频接收器MT8888C DTMF 收发器NE5532/NE5532A 双低噪声运算放大器NE5534/SE5534 低噪声运算放大器NE555/SA555 单时基电路NE556/SA556/SE556 双时基电路NE570/NE571/SA571 音频压缩扩展器OP07 低电压飘移运算放大器OP27 低噪音精密运算放大器OP37 低噪音高速精密运算放大器OP77 低电压飘移运算放大器OP90 精密低电压微功耗运算放大器PC817/PC827/PC847 高效光电耦合器PT2262 无线遥控发射编码器芯片PT2272 无线遥控接收解码器芯片SG2524/SG3524 脉宽调制PWMST7537 电力线调制解调器电路TDA1521 2×12W Hi-Fi 音频功率放大器TDA2030 14W Hi-Fi 音频放大器TDA7000T FM 单片调频接收电路TDA7010T FM 单片调频接收电路TDA7021T FM MTS 单片调频接收电路TDA7040T 低电压锁相环立体声解码器TDA7050 低电压单/双声道功率放大器TL062/TL064 低功耗JFET输入运算放大器TL071/TL072/TL074 低噪声JFET输入运算放大器TL082/TL084 JFET 宽带高速运算放大器TL494 脉宽调制PWMTL594 精密开关模式脉宽调制控制TLP521/1-4 光电耦合器TOP100-4 TOPSwitch 三端PWM开关电源电路TOP221-7 TOPSwitch-Ⅱ三端PWM开关电源电路TOP232-4 TOPSwitch-FX 五端柔韧设计开关电源电路TOP412/TOP414 TOPSwitch 三端PWM DC-DC 开关电源ULN2068 1.5A/50V 4路达林顿驱动电路ULN2803 500mA/50V 8路达林顿驱动电路ULN2803/ULN2804 线性八外围驱动器阵列 [中文资料] VFC32 电压—频率/频率—电压转换器添加评论40系列CMOS电路检索4000 CMOS 3输入双或非门1反相器4001 CMOS 四2输入或非门4002 CMOS 双4输入或非门4006 CMOS 18级静态移位寄存器4007 CMOS 双互补对加反相器4008 CMOS 4位二进制并行进位全加器4009 CMOS 六缓冲器/转换器(反相)4010 CMOS 六缓冲器/转换器(同相)40100 CMOS 32位双向静态移位寄存器40101 CMOS 9位奇偶发生器/校验器40102 CMOS 8位BCD可预置同步减法计数器40103 CMOS 8位二进制可预置同步减法计数器40104 CMOS 4位三态输出双向通用移位寄存器40105 CMOS 先进先出寄存器40106 CMOS 六施密特触发器40107 CMOS 2输入双与非缓冲/驱动器40108 CMOS 4×4多端寄存40109 CMOS 四三态输出低到高电平移位器4011 CMOS 四2输入与非门40110 CMOS 十进制加减计数/译码/锁存/驱动40117 CMOS 10线—4线BCD优先编码器4012 CMOS 双4输入与非门4013 CMOS 带置位/复位的双D触发器4014 CMOS 8级同步并入串入/串出移位寄存器40147 CMOS 10线—4线BCD优先编码器4015 CMOS 双4位串入/并出移位寄存器4016 CMOS 四双向开关40160 CMOS 非同步复位可预置BCD计数器40161 CMOS 非同步复位可预置二进制计数器40162 CMOS 同步复位可预置BCD计数器40163 CMOS 同步复位可预置二进制计数器4017 CMOS 十进制计数器/分频器40174 CMOS 六D触发器40175 CMOS 四D触发器4018 CMOS 可预置 1/N 计数器40181 CMOS 4位算术逻辑单元40182 CMOS 超前进位发生器4019 CMOS 四与或选译门40192 CMOS 可预制四位BCD计数器40193 CMOS 可预制四位二进制计数器40194 CMOS 4位双向并行存取通用移位寄存器4020 CMOS 14级二进制串行计数/分频器40208 CMOS 4×4多端寄存器4021 CMOS 异步8位并入同步串入/串出寄存器4022 CMOS 八进制计数器/分频器4023 CMOS 三3输入与非门4024 CMOS 7级二进制计数器4025 CMOS 三3输入或非门40257 CMOS 四2线-1线数据选择器/多路传输4026 CMOS 7段显示十进制计数/分频器4027 CMOS 带置位复位双J-K主从触发器4028 CMOS BCD- 十进制译码器4029 CMOS 可预制加/减(十/二进制)计数器4030 CMOS 四异或门4031 CMOS 64级静态移位寄存器4032 CMOS 3位正逻辑串行加法器4033 CMOS 十进制计数器/消隐7段显示4034 CMOS 8位双向并、串入/并出寄存器4035 CMOS 4位并入/并出移位寄存器4038 CMOS 3位串行负逻辑加法器4040 CMOS 12级二进制计数/分频器4041 CMOS 四原码/补码缓冲器4042 CMOS 四时钟控制 D 锁存器4043 CMOS 四三态或非 R/S 锁存器4044 CMOS 四三态与非 R/S 锁存器4045 CMOS 21位计数器4046 CMOS PLL 锁相环电路4047 CMOS 单稳态、无稳态多谐振荡器4048 CMOS 8输入端多功能可扩展三态门4049 CMOS 六反相缓冲器/转换器4050 CMOS 六同相缓冲器/转换器4051 CMOS 8选1双向模拟开关4052 CMOS 双4选1双向模拟开关4053 CMOS 三2选1双向模拟开关4054 CMOS 四位液晶显示驱动器4055 CMOS BCD—7段译码/液晶显示驱动器4056 CMOS BCD—7段译码/驱动器4059 CMOS 可编程 1/N 计数器4060 CMOS 14级二进制计数/分频/振荡器4063 CMOS 四位数字比较器4066 CMOS 四双向模拟开关4067 CMOS 单16通道模拟开关4068 CMOS 8输入端与非门4069 CMOS 六反相器4070 CMOS 四异或门4071 CMOS 四2输入端或门4072 CMOS 4输入端双或门4073 CMOS 3输入端三与门4075 CMOS 3输入端三或门4076 CMOS 4位三态输出D寄存器4077 CMOS 四异或非门4078 CMOS 8输入端或非门4081 CMOS 四2输入端与门4082 CMOS 4输入端双与门4085 CMOS 双2×2与或非门4086 CMOS 2输入端可扩展四与或非门4089 CMOS 二进制系数乘法器4093 CMOS 四2输入端施密特触发器4094 CMOS 8级移位存储总线寄存器4095 CMOS 选通J-K同相输入主从触发器4096 CMOS 选通J-K反相输入主从触发器4097 CMOS 双8通道模拟开关4098 CMOS 双单稳态多谐振荡器4099 CMOS 八位可寻址锁存器4500 CMOS 工业控制一位微处理器4501 CMOS 三组门电路4502 CMOS 可选通六反相缓冲器4503 CMOS 六三态同相缓冲器4504 CMOS 六TTL-CMOS电平移位器4506 CMOS 双二组2输入可扩展与或非门4508 CMOS 双三态输出四位锁存器4510 CMOS BCD可预置可逆计数器4511 CMOS BCD-7段锁存/译码/LED驱动4512 CMOS 8通道数据选择器4513 CMOS BCD-7段译码/锁存/驱动器4514 CMOS 四位锁存/4-16高有效译码器4515 CMOS 四位锁存/4-16低有效译码器4516 CMOS 二进制四位可预置可逆计数器4517 CMOS 双64位静态移位寄存器4518 CMOS 双BCD加法计数器4519 CMOS 四位与或选择器4520 CMOS 双二进制加法计数器4522 CMOS 可预置BCD 1/N 计数器4526 CMOS 可预置二进制 1/N 计数器4527 CMOS BCD系数乘法器4528 CMOS 双单稳态多谐振荡器4529 CMOS 双四路或单八路模拟开关4530 CMOS 双5输入优势逻辑门4531 CMOS 12位奇偶校验电路4532 CMOS 8输入优先权译码器4534 CMOS 时分制5位十进制计数器4536 CMOS 可编程定时器4538 CMOS 双精密单稳多谐振荡器4539 CMOS 双四路数据选择器/多路开关4541 CMOS 可编程振荡器/计时器4543 CMOS BCD-7段译码/锁存/液晶驱动器4544 CMOS BCD-7段译码/消隐/驱动器4547 CMOS BCD-7段译码/大电流驱动器4549 CMOS 逐级近似寄存器4551 CMOS 4×2通道模拟开关4553 CMOS 3位数BCD计数器4554 CMOS 2×2并行二进制乘法器4555 CMOS 双4选1高选中译码器4556 CMOS 双4选1低选中译码器4557 CMOS 1-64位可变字长移位寄存器4558 CMOS BCD-7段译码器4559 CMOS 逐级近似寄存器4560 CMOS BCD全加器4561 CMOS “9”补码电路4562 CMOS 128位静态移位寄存器4566 CMOS 工业时基发生器4568 CMOS 相位比较器/可编辑计数器4569 CMOS 双可预置BCD/二进制计数器4572 CMOS 六门电路4580 CMOS 4×4多端寄存器4581 CMOS 4位算术逻辑单元4582 CMOS 超前进位发生器4583 CMOS 双多能施密特触发器4584 CMOS 六施密特触发器4585 CMOS 4位数字比较器4597 CMOS 8位总线相容计数/锁存器4598 CMOS 8位总线相容可寻址锁存器4599 CMOS 8位可寻址双向锁存器。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

八位计数器
一、实验要求
练习在Modesim下编写Verilog程序,熟悉Modelsim运行过程,并学
会用Verilog语言编写一个八位计数器。

二、实验内容

八位计数器的真值表如下:

状态
Count
A1 A2 A3 A4 A5 A6 A7 A8

0 0 0 0 0 0 0 0 0
1 0 0 0 0 0 0 0 1
1 1 0 0 0 0 0 0 2
1 1 1 0 0 0 0 0 3
1 1 1 1 0 0 0 0 4
1 1 1 1 1 0 0 0 5
1 1 1 1 1 1 0 0 6
1 1 1 1 1 1 1 0 7
1 1 1 1 1 1 1 1 8
0 1 1 1 1 1 1 1 9
0 0 1 1 1 1 1 1 10
0 0 0 1 1 1 1 1 11
0 0 0 0 1 1 1 1 12
0 0 0 0 0 1 1 1 13
0 0 0 0 0 0 1 1 14
0 0 0 0 0 0 0 1 15

由真值表可设计源代码如下:
1. 代码
(1)功能模块:
module Counter(jcounter,clk,rst);

//输入和输出端口声明
output [7:0] jcounter;
input clk,rst;
reg [7:0] jcounter;

//使用always语句,且为多个电平触发
always @(posedge clk or negedge rst)
if(!rst)
jcounter<=0;
else
begin
if(!jcounter[0])
jcounter[7:0]<={1'b1,jcounter[7:1]};
else
jcounter[7:0]<={1'b0,jcounter[7:1]};
end
endmodule

(2)测试模块:
module stimulus;

//设置变量
reg clk,rst;
wire [7:0] jcounter;

//实例引用Counter
Counter JCounter(.jcounter(jcounter),.clk(clk),.rst(rst));

//设置信号的监视
initial

$monitor($time,"clk=%b,rst=%b,---jcounter=%b\n",clk,rst,jcounter)
;

//初始值的设置
initial
begin
clk=1'b0;
rst=1'b0;
#10 rst=1'b1;
end

//产生时钟
always
#5 clk=~clk;

//结束仿真
initial
#500 $finish;

endmodule

2. 仿真结果
# 0clk=0,rst=0,---jcounter=00000000
#
# 5clk=1,rst=0,---jcounter=00000000
#
# 10clk=0,rst=1,---jcounter=00000000
#
# 15clk=1,rst=1,---jcounter=10000000
#
# 20clk=0,rst=1,---jcounter=10000000
#
# 25clk=1,rst=1,---jcounter=11000000
#
# 30clk=0,rst=1,---jcounter=11000000
#
# 35clk=1,rst=1,---jcounter=11100000
#
# 40clk=0,rst=1,---jcounter=11100000
#
# 45clk=1,rst=1,---jcounter=11110000
#
# 50clk=0,rst=1,---jcounter=11110000
#
# 55clk=1,rst=1,---jcounter=11111000
#
# 60clk=0,rst=1,---jcounter=11111000
#
# 65clk=1,rst=1,---jcounter=11111100
#
# 70clk=0,rst=1,---jcounter=11111100
#
# 75clk=1,rst=1,---jcounter=11111110
#
# 80clk=0,rst=1,---jcounter=11111110
#
# 85clk=1,rst=1,---jcounter=11111111
#
# 90clk=0,rst=1,---jcounter=11111111
#
# 95clk=1,rst=1,---jcounter=01111111
#
# 100clk=0,rst=1,---jcounter=01111111
#
# 105clk=1,rst=1,---jcounter=00111111
#
# 110clk=0,rst=1,---jcounter=00111111
#
# 115clk=1,rst=1,---jcounter=00011111
#
# 120clk=0,rst=1,---jcounter=00011111
#
# 125clk=1,rst=1,---jcounter=00001111
#
# 130clk=0,rst=1,---jcounter=00001111
#
# 135clk=1,rst=1,---jcounter=00000111
#
# 140clk=0,rst=1,---jcounter=00000111
#
# 145clk=1,rst=1,---jcounter=00000011
#
# 150clk=0,rst=1,---jcounter=00000011
#
# 155clk=1,rst=1,---jcounter=00000001
#
# 160clk=0,rst=1,---jcounter=00000001
#
# 165clk=1,rst=1,---jcounter=00000000
#
3. 波形
三、实验小结
本次实验之前,我对计数器的功能、结构有一定的了解,但对于Verilog语
言不是很了解。通过上网查阅相关信息,并通过8位计数器的真值表,然后认真
分析,得到了源代码。
课程的实验,都是应用所学Verilog知识,并结合已学过的数电知识来完成
的,温故而知新,受益匪浅。

相关文档
最新文档