南航数字闹钟课程设计报告

南航金城学院数字电路实验课程设计报告

数字闹钟

第一部分设计任务

1.1设计任务

设计、制作一个带有校时功能、可定时起闹的数字闹钟。

1.2设计指标

闹钟电路:跳线的方法由计数器、译码器、组合逻辑电路、单稳态电路组成

显示电路:译码器数码管

模块结构与方框图

第三部分电路设计与器件选择

3.1 秒信号发生器

3.1.1模块电路及参数计算

提供秒脉冲

取R1=1.5K,R2=2.4K C=220uF

T≈1S 3.1.2工作原理和功能说明 3.2走时电路设计

3.2.1模块电路及参数计算 包括秒计时器、分计时器、时计时器,每一部分由两片计数器级联构成。 秒计时器:十进制与六进制级联而成,由两片实现。

分计时器:同秒计时器。

秒分计时器原理

时计数器原理

74LS 160其清零方式通常称为“ 异步清零 ”,即只要 清零端有效,不管有无时钟信号,输出端立即为 0。

译码显示电路

(A1、A2)

(3)RS 触发器 (4)反相器

(5)晶体管T

用译码器74LS48对计数结果进行译码,译码后在共阴极数码管上显示。

3.2.3器件说明

输出信号加至分,时计时器使其快速计数

将所需要校对的时或分计数电路的脉冲输入端切换到秒信号,使

起闹点。

3.4.4 器件说明

单稳态触发器: 输出端只有一个稳定状态, 另一个状态则是暂稳态。加入触发信号后,它可以由稳定状态转入暂稳态,经过一定时间以后,它又会自动返回原来的稳定状态。

74LS123 内部包括两个独立的单稳态电路。单稳输出脉冲的宽度,主要由外接的定时电阻( RT )和定时电容( CT )决定。单稳的翻转时刻决定于A、B、CLR 三个输入信号。

第四部分整机电路

4.1整机电路图

1、布局合理,元件布局合理规划,尽量做到用线最少

2、导线紧靠面包板

3、交叉线路尽可能少

5.2电路调试

5.2.1调试步骤及结果 5.2.2故障分析及处理

1、面包板测试

我们是将面包板上的电源插孔的上面部分接高,下面部分接地,在用蜂鸣器调试的过程中,发现只有接到第二排的电源插孔时蜂鸣器不响,于是我们分析可能是面包板中间负极连接没有连好,我们换了一根导线重连后恢复正常。

在检查之前的步骤中并没有连接蜂鸣器,因为每次连接都会发出声响,比较吵。连接蜂鸣器后,在我们设定的12时时并没有发出声响,并且整个过程只有接通的瞬间才会发出声响,这让我们匪夷所思,我们先检查电路连接有没有错误,确认没有后验证元件有没有插紧,发现都没问题依然是同样的情况,我们请别组的同学帮我们看看,

发现我们一个138的两个接地的拐角没有接地,接地后还是如此,我们就换了一个138试试,再测试问题解除。(在调试的过程中因为蜂鸣器一直比较吵,所以我们在后面的调试过程中一直采用发光二级管代替蜂鸣器,直到问题解除

5、其他问题

在实验中,我也遇到了很多挫折,不过我都和同伴一一克服了,大家齐心协力解决了问题,使我明白了和他人共同合作的重要性。在以后的道路上我们也必须深刻认识到团队合作的精神,投入今后的发展之中。

成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、

烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。

在课程设计过程中,收获知识,提高能力的同时,我也学到了很多人生的哲理,懂得怎么样去制定计划,怎么样去实现这个计划。

………………………………

3.4 闹钟电路设计(闹点、闹钟持续时间)……………………………………………………………………………………………………………………

………………………………

第四部分整机电路

4.1 整机电路图(非仿真图)………………………………………………………4.2 元件清单…………………………………………………………………………

第五部分安装调试与性能测量

5.1电路安装…………………………………………………………………………

5.2电路调试…………………………………………………………………………

5.2.1 调试步骤及结果………………………………………………………………

5.2.2 故障分析及处理(详细)……………………………………………………第六部分课程设计总结

多功能数字钟的FPGA实现设计报告

可编程逻辑器件电路设计课程设计报告 多功能数字钟的FPGA实现 姓名: 班级: 学号: 指导老师: 日期:

摘要 FPGA( Field Programmable Gate Array,现场可编程门阵列),是20 世纪70 年代发展起来的一种可编程逻辑器件,是目前数字系统设计的主要硬件基础。 可编程逻辑器件的设计过程是利用EDA 开发软件和编程和编程工具对器件进行开发的过程。 本系统采用模块化的设计方式,重复使用的功能模块均以组件(Component)的形式存在,一边相关块的调用,主程序内用不同功能块构成一个完整的结构。将所有的组件收集在同一个程序包my_pkg中。 本设计主要使用了VHDL 语言,采用的FPGA 开发平台是Maxplus2,而设计一个多功能数字钟,在Maxplus2 开发平台下进行了编译、仿真、下载,实现了基本计时显示和设置、调整时间、报时和闹钟功能。 [关键词] FPGA;模块化;VHDL;Maxplus2;数字钟 i

目录 1设计任务及要求 (1) 1.1 设计任务 (1) 1.2 设计要求 (1) 1.3 功能拓展 (1) 2 方案比较与选择 (2) 3 底层文件仿真与分析 (3) 3.1 1024分频文件 (3) 3.2 二选一数据选择器 (3) 3.3 60进制计数器 (4) 3.4 24进制计数器 (4) 3.5 十进制转BCD模块 (5) 3.6 BCD转LED显示码 (5) 3.7 数码管动态扫描显示模块 (6) 3.8 闹钟报警模块 (6) 4 顶层文件仿真与分析 (8) 4.1顶层时钟设计输入输出图元 (8) 4.2 顶层文件仿真分析 (8) 5硬件验证分析 (10) 5.1 管脚分配 (10) 5.2 硬件调试 (11) 6课程设计心得 (12) Abstract (13) 参考文献·······················································································································附录(源代码) I

EDA课程设计 数字时钟(闹钟)

课程设计说明书题目:闹钟 学院(系): 年级专业: 学号: 学生姓名: 指导教师: 教师职称:

目录 第1章摘要 (1) 第2章设计方案…………………………………………………………………………… 2.1 VHDL简介…………………………………………………………………………… 2.2 设计思路…………………………………………………………………………… 第3章模块介绍…………………………………………………………………………… 第4章 Verilog HDL设计源程序………………………………………………………… 第5章波形仿真图………………………………………………………………………… 第6章管脚锁定及硬件连线……………………………………………………………… 心得体会 (17) 参考文献 (18)

第一章摘要 在当今社会,数字电路产品的应用在我们的实际生活中显得越来越重要,与我们的生活联系愈加紧密,例如计算机、仪表、电子钟等等,使我们的生活工作较以前的方式更加方便、完善,带来了很多的益处。 在此次EDA课程,我的设计课题是闹钟,使用VHDL语言进行编程完成。报告书主要由设计方案、模块介绍、设计源程序、仿真波形图和管脚锁定及硬件连线四部分组成。设计方案主要介绍了我对于设计课题的大致设计思路,之后各个部分将会详细介绍设计组成及程序。

第二章设计方案 §2.1 VHDL简介 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术,就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。 利用EDA技术进行电子系统的设计,具有以下几个特点:①用软件的方式设计硬件; ②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。 EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了计算机辅助设计(Computer Assist Design,简称CAD)、计算机辅助工程设计(Computer Assist Engineering Design,简称CAE)和电子设计自动化(Electronic Design Automation,简称EDA)三个发展阶段。 常用的硬件描述语言有VHDL、Verilog、ABEL。 EDA技术是随着集成电路和计算机技术的飞速发展应运而生的一种高级、快速、有效的电子设计自动化工具。它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶

毕业论文--数字电路课程设计报告--基于1602液晶屏的数字万年历Verilog版

毕业论文--数字电路课程设计报告--基于1602液晶屏 的数字万年历Verilog版 基于1602液晶屏的数字万年历(Verilog版) 课程名称:数字电路课程设计 专业:集成电路设计与集成系统 基于1602液晶屏的数字万年历(Verilog版) 一.设计要求 1.基本功能 设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。 在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。 能够调整小时和分钟的时间,调整的形式为通过按键进行累加。 具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。 2.扩展功能 设计模式选择计数器,通过计数器来控制各个功能之间转换。 调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。 用LCD液晶屏来显示当前时间及功能模式。

二.设计分析及系统方案设计 1.要求分析: 基于FPGA实际并发处理的特点,对于实现数字万历年系统,相比于任何嵌入式处理器而言,其特点和优势将得以更加全面体现。 数字万年历中所有模块都将基于基准时钟源进行处理,结合FPGA本身的特点,在时钟源下可进行精确计数,可轻易而产生十分精确的万年历时间。 基础部分:万年历可包括以下时间处理模块:基于秒时钟计数器进行判断处理。 ①秒,分,时。 ②星期,上/下午。 ③日,月,年。 ④闹钟 功能部分: ①时间设定:使用四个按键进行控制,分别是:设置复位按键,设置移位键,功能“加”键,功能“减”键。 ②整点报时部分:使用7个绿色LED作为提示灯。 ③闹钟提示部分:使用16个红色LED作为闹钟报时提示。 显示部分:使用LCD1602液晶显示屏作为万年历的主显示屏,闹钟显示部分使用6个7段数码管。 2.方案设计 基于FPGA的特点以及本万年历系统自身功能特点的实现方式。系统采用模块化方案进行设计。各个模块及其相关实现功能描述,同时具体的代码中模块设

EDA课程设计 数字时钟

第一章摘要 在当今社会,数字电路产品的应用在我们的实际生活中显得越来越重要,与我们的生活 联系愈加紧密,例如计算机、仪表、电子钟等等,使我们的生活工作较以前的方式更加方便、完善,带来了很多的益处。 在此次EDA课程,我的设计课题是闹钟,使用MAX+plusⅡ系统进行电路设计及通过下载于硬件连接完成闹钟的显示。报告书主要由设计方案、模块介绍、仿真波形图和管脚锁定及硬件连线四部分组成。设计方案主要介绍了我对于设计课题的大致设计思路,之后各个部分将会详细介绍设计组成及程序。 第二章设计说明 一、设计要求 1、设计简易的一分钟闹钟; 2、可手动输入定时时间(0~59s),如30s; 3、两个静态数码管上跟踪显示时间的变化:如30,29,28……到了指定时间蜂鸣器发出5s 的提示音; 4、采用2个静态数码管显示时间; 5、用蜂鸣器发出提示音; 6、8位数字开关设置定时时间。 二、设计思路 根据上述的设计要求,整个系统大致包括如下几个组成部分:它包括以下几个组成部分:1)显示屏,由2个静态数码管组成,用于显示当前设置的闹钟时间并进行跟踪显示; 2) 8个数字开关,用于输入闹钟时间; 3) 复位键,确定新的闹钟时间设置,或显示已设置的闹钟时间; 4) 蜂鸣器,在当数码管由设置时间结束到零时,发出5s蜂鸣声; 5)倒计时,由2片74168构成减法计数器。 三、模块介绍 1.74168功能介绍 74168是十进制加减计数器,U/ND为加/减计数控制端,其为高电平时74168工作在加法计数器状态,当为低电平时74168工作在减法计数状态;ENPN、ENTN为计数控制端(低电平有效);LDN为同步并行置入控制端,当LDN为低电平时,在CLK上升沿作用下,输出端与数据输入端一致;TCN进位输出端(低电平有效)。 2.倒计时模块 倒计时部分由2片74168组成,分别为倒计时的低位与高位。通过将低位的借位输出端与高位的使能端相连,当低位输出借位信号(即低电平)时,高位74168芯片开始工作,实现减法计数器功能。倒计时的输出由静态数码管跟踪显示。同时将低位与高位74168的输出通过3个或非门的连接(见倒计时原理图),将输出信号经过处理后送入低位74168芯片的使能

fpga数字钟课程设计报告

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 学号:20133638 姓名:王一丁 指导教师:李世平 设计时间:2016年1月

摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟

目录 摘要 1 课程设计目的 2 课程设计内容及要求 2.1 设计任务 2.2 设计要求 3 VHDL程序设计 3.1方案论证 3.2 系统结构框图 3.3设计思路与方法 3.3.1 状态控制模块 3.3.2 时分秒模块 3.3.3 年月日模块 3.3.4 显示模块 3.3.5脉冲产生模块 3.3.6 扬声器与闹钟模块 3.4 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献

1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。 2 课程设计内容及要求 2.1 设计任务 (1)6个数字显示器显示时分秒,setpin按键产生一个脉冲,显示切换为年月日。 (2)第二个脉冲可预置年份,第三个脉冲可以预置月份,依次第四、 五、六、七个脉冲到来时分别可以预置时期、时、分、秒,第八个脉冲到来后预置结束正常从左显示时分秒。 (3)up为高时,upclk有脉冲到达时,预置位加一,否则减一。 2.2 设计要求 (1)在基本功能的基础上,闹钟在整点进行报时,产生一定时长的高电平。 (2)实现闹钟功能,可对闹钟时间进行预置,当达到预置时间时进行报时。

数字电路实验-数字闹钟

南京航空航天大学数字电路课程设计之数字钟数字电子技术课程设计报告 一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。因此,我们此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟. 而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法. 且由于数字钟包括组合逻辑电路和时叙电路. 通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法. 二、设计内容及要求 (1)设计指标 ①由LM555构成多谐振荡器产生1HZ标准秒信号; ②分、秒为00~59 六十进制计数器,用数码管显示; ③时为00~23 二十四进制计数器,用数码管显示; ④具有校时功能,可以分别对时及分进行单独校时,使其校正到 标准时间;⑤ 整点具有报时功能, 走时过程中能按预设的定时时间(精确到小时)启动闹钟,以发光二极管闪烁表示,启闹时间为3s?10s。 (2)设计要求 ① 画出电路原理图(multisim 仿真);

② 元器件及参数选择; ③ 电路仿真与调试。 (3)要求:自行装配和调试,并能发现问题和解决问题。布局合理,导线横平竖直,且不要从集成块上跳线,导线紧贴面包板,连接可靠,交叉线尽可能少。 (4)编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,有心得体会。三、原理框图 数字钟实际上是一个对标准频率(1HZ进行计数的计数电路。由 于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时 电路,同时标准的1HZ时间信号必须做到准确稳定。数字电子钟的总体 图如图所示。数字电子钟由以下几部分组成:多频振荡器构成的秒脉冲发生器;校对电路;六十进制秒、分计数器、二十进制时计数器;以及秒、分、时的译码显示部分等。 四.主要部分的实现方案 1 秒脉冲电路 可采用LM555构成多谐振荡器,调整电阻可改变频率,使之产生1Hz 的脉冲信号(即T=1S)如图示:

单片机课程设计数字钟实验报告

单片机课程设计:电子钟 一、实现功能 1、能够实现准确计时,以数字形式显示时、分、秒的时间。 2、小时以24小时计时形式,分秒计时为60进位,能够调节 时钟时间。 3、闹钟功能,一旦走时到该时间,能以声或光的形式告警提 示。 4、能够实现按键启动与停止功能。 5、能够实现整点报时功能。 6、能够实现秒表功能。 二、设计思路 1、芯片介绍 VCC:电源。

GND:接地。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL 门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。 P3口也可作为AT89C51的一些特殊功能口,如下表所示: 口管脚备选功能 P3.0 RXD(串行输入口) P3.1 TXD(串行输出口) P3.2 /INT0(外部中断0) P3.3 /INT1(外部中断1) P3.4 T0(记时器0外部输入) P3.5 T1(记时器1外部输入) P3.6 /WR(外部数据存储器写选通) P3.7 /RD(外部数据存储器读选通) P3口同时为闪烁编程和编程校验接收一些控制信号。 RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期

数字电子钟 实验报告

课题一数字电子钟 电子钟是一种高精度的计时工具,它采用了集成电路和石英技术,因此走时精度高,稳定性能好,使用方便,且不需要经常调校。电子钟根据显示方式不同,分为指针式电子钟和数字式电子钟。指针式电子钟采用机械传动带动指针显示;而数字式电子钟则是采用译码电路驱动数码显示器件,以数字形式显示。这些译码显示器件,利用集成技术可以做的非常小巧,也可以另加一定的驱动电路,推动霓红灯或白炽灯显示系统,制做成大型电子钟表。因此,数字式电子钟用途非常广泛。 一、课程设计(综合实验)的目的与要求 设计一个具有如下功能的数字电子钟: 1.基本功能 (1)能直接显示时、分、秒; (2)能正确计时,小时采用二十四进制,分和秒采用60进制; (3)有校时功能,手动调整时、分; 2.扩展功能 (1)能进行24小时整点报时,要求从59分50秒开始,每2秒钟响一声,共响5次; 每响一次声音持续0.5秒。 (2)要求只在6--22点之间每整点报时,23--5点之间整点不报时; (3)具有任意几点几分均可响铃的闹钟控制电路。响铃1分钟,可人为通过开关使响铃提前终止; 二、设计(实验)正文 数字电子钟实际上是一个对标准频率(1HZ)进行计数并通过数码管显示的计数电路,由于计数的起始时间与标准时间(如北京时间)不一致,故需要在电路上加一个校时电路。标准的1HZ时间信号必须准确稳定,可以使用555定时器设计1HZ的振荡电路。 时间计数电路由秒计数器(个位,十位)、分计数器(个位,十位)电路构成,秒个位和秒十位计数器、分个位和分十位计数器均为60进制计数器,而根据设计要求,时个位

和时十位计数器为24进制计数器。 1.系统原理框图如下: 2.1 分、秒计时器 分、秒计时器均为60进制计数器,当秒计时器接受到一个秒脉冲时,秒计数器个位开始从1计数到9,同时在个位计数产生进位时将进位接秒计数器的十位计数器CLK,此时秒显示器将显示00、01、02、...、59、00;每当秒计数器数到00时,就会产生一个脉冲输出送至分计时器,此时分计数器数值在原有基础上加1,其显示器将显示00、01、02、...、59、00,当分计数器产生进位时,将会在进位端产生高电平,进而触发电路,驱动蜂鸣器,起到整点报时的功能。 2.2 校时电路 校时电路采用标准时钟频率作为输入信号,当需要进行校正时间时,按住校正自锁开关,分计数器对标准频率进行计数,当校正到正确时间时,松开校时开关,校时结束。

数字时钟课程设计

数字时钟课程设计 数字电子钟具有走时准确,一钟多用等特点,在生活中已经得到广泛的应用。虽然现在市场上已有现成的电子钟集成电路芯片出售,价格便宜、使用也方便,但是人们对电子产品的应用要求越来越高,数字钟不但可以显示当前的时间,而且可以显示日期、农历、以及星期等,给人们的生活带来了方便。另外数字钟还具备秒表和闹钟的功能,且闹钟铃声可自选,使一款电子钟具备了多媒体的色彩。 1绪论 1.1课题背景及目的 在日常生活和工作中,我们常常用到定时控制,如扩印过程中的曝光定时等。早期常用的一些时间控制单元都使用模拟电路设计制作的,其定时准确性和重复精度都不是很理想,现在基本上都是基于数字技术的新一代产品,随着数字集成电路性能价格比的不断提高,新一代产品的应用也越来越广泛,大可构成复杂的工业过程控制系统,完成复杂的控制功能。小则可以用于家电控制,甚至可以用于儿童电子玩具。它功能强大,体积小,质量轻,灵活好用,配以适当的接口芯片,可以构造各种各样、功能各异的微电子产品。 随着电子技术的飞速发展,家用电器和办公电子设备逐渐增多,不同的设备都有自己的控制器,使用起来很不方便。根据这种实际情况,设计了一个单片机多功能定时系统,它可以避免多种控制器的混淆,利用一个控制器对多路电器进行控制,同时又可以进行时钟校准和定点打铃。它可以执行不同的时间表(考试时间和日常作息时间)的打铃,可以任意设置

时间。这种具有人们所需要的智能化特性的产品减轻了人的劳动,扩大了 数字化的范围,为家庭数字化提供了可能。1.2数字时钟的应用数字电子钟具有走时准确,一钟多用等特点,在生活中已经得到广泛 的应用。虽然现在市场上已有现成的电子钟集成电路芯片出售,价格便宜、使用也方便,但是人们对电子产品的应用要求越来越高,数字钟不但可以 显示当前的时间,而且可以显示日期、农历、以及星期等,给人们的生活 带来了方便。另外数字钟还具备秒表和闹钟的功能,且闹钟铃声可自选, 使一款电子钟具备了多媒体的色彩。 时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记 当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无 伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。电子钟已成为 人们日常生活中必不可少的必需品,广泛用于个人家庭以及车站、码头、 剧院、办公室等公共场所,给 数字电子钟具有走时准确,一钟多用等特点,在生活中已经得到广泛的 应用。虽然现在市场上已有现成的电子钟集成电路芯片出售,价格便宜、 使用也方便,但是人们对电子产品的应用要求越来越高,数字钟不但可以显 示当前的时间,而且可以显示日期、农历、以及星期等,给人们的生活带来 了方便。另外数字钟还具备秒表和闹钟的功能,且闹钟铃声可自选,使一款 电子钟具备了多媒体的色彩。 人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路 技术的发展和采用了先进的石英技术,使电子钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。 数字电子钟具有走时准确,一钟多用等特点,在生活中已经得到广泛的 应用。虽然现在市场上已有现成的电子钟集成电路芯片出售,价格便宜、

课程设计数字电子闹钟

数 字 闹 钟 的 设 计 数字闹钟的设计与制作 一、设计任务与要求 设计并制作一个带有可定时起闹的数字钟 1.有“时”、“分”十进制显示;“秒”使用发光二极管闪烁表示 2.以24小时为一个计时周期 3.走时过程中能按预设的定时时间精确到小时启动闹钟;以发光二极管闪烁表示;启闹时间为3s~10s 二、实验仪器及主要器件

5V电源 1台 面包板 1块 74LS163 6片 74LS00 5片 74LS138 2片 CD4511 4片 LM555 1片 74LS123 1片 LED共阴极显示器 4片 电阻若干 电容 3个 导线 15米 三、设计原理方案 系统构成 1、标准时间源 l 标准时间源即秒信号发生器 2 可采用LM555构成多谐振荡器;调整电阻可改变频率;使之产生1Hz的脉冲信号即T=1S LM555管脚排列及电路 T=0.7RA+2RBC T=1S;C=220uF 计算得RA+2RB≈6.5K

取RA=1.5K;RB=2.4K 2.计时部分:时计数单元一般为24进制计数器;其输出为两位8421BCD码形式;分计数和秒计数单元为60进制计数器;其输出也为8421BCD码..模60计数器采用异步方式 如秒计数器:分成个位和十位;个位模十;十位模六..个位从0000计数到1001;利用置数端将个位从0000重新开始计数;同时将1001信号作为一个CP脉冲信号传给十位;让十位开始从0000开始计数..以此规律开始计数;直到十位计数到5;个位计数到9时;通过十位的置数端将十位清零;重新开始计数;并将此信号作为一个CP脉冲信号传给分计数器.. 模24计数器电路 模24计数器采用同步方式; 使用两片74LS163芯片;cp脉冲均由分计数器提供.第一片制成模10计数器;将1001信号提取出来后给与清零端..第二片芯片制成模为3的计数器;原数据ABCD给予0000信号.将第一片芯片的0011信号与第二片芯片的0010信号提取出来给与第一片芯片的置数端与第二片芯片的清零端;上升沿过来之后;两片芯片同时清零 3、定时起闹部分 l 正点起闹;不要求分 2 使用2片74LS138;分别选出小时的十位和个位 3 小时十位为0~2;3-8译码器只使用前2个输入端;小时个位为0~9;3-8译码器只有3个输入端;会丢失几个时间点:8点、9点、18点、19点.. 4 还应控制起闹时间的长短;用74LS123构成单稳态触发器

数字电路课程设计数字时钟报告

数字电路课程设计数字时钟报告 数字电路课程设计数字时钟 介绍 •数字电路课程设计是一门重要的电子工程课程,旨在培养学生在数字电路设计领域的能力和技巧。 •数字时钟是数字电路设计项目中一个典型的案例,可以通过该项目加深对数字电路原理和实践的理解。 设计目标 •开发一个功能完备、性能稳定的数字时钟电路。 •通过数字时钟项目,培养学生的数字电路设计能力、团队合作能力和解决问题的能力。 设计步骤 1.分析需求:确定数字时钟的功能和性能要求,例如显示精度、时 钟模式、闹钟功能等。 2.确定器件:根据设计需求,选择适合的数字电路和组件,如时钟 发生器、计数器、显示器等。 3.设计电路原理图:根据需求和选择的器件,绘制数字时钟的电路 原理图。

4.进行逻辑设计:使用数字逻辑门和触发器等器件,实现数字时钟 的各个功能模块。 5.进行测试:将电路搭建并连接,对数字时钟进行功能和性能测试。 6.优化和修改:根据测试结果,优化和修改电路设计,确保数字时 钟的稳定性和可靠性。 7.编写报告:总结设计过程,记录问题和解决方案,描述数字时钟 的设计和实现。 设计要点 •确保数字时钟的显示精度和稳定性,避免数字闪烁或误差较大。•采用合适的计数器和时钟发生器,确保数字时钟能准确计时和显示时间。 •考虑数字时钟的功耗和可靠性,选择适合的电源和元器件。 •在设计中考虑数字时钟的扩展性和功能性,如增加闹钟、温湿度显示等功能。 结论 •数字时钟设计是数字电路课程中有趣而实用的项目,能够培养学生的实践能力和创造力。 •通过数字时钟项目,学生可以通过实践掌握数字电路设计的方法和技巧,提高解决问题的能力和团队协作能力。

数电课程设计报告-多功能数字钟

课题名称:多功能数字钟 所在院系:机械电子工程学院班级:*自动化*班 学号:2011******* 姓名:*宜杰 指导老师:*** 时间:2013.12.20 瓷学院

数字电子技术课程设计任务书

目录 引言 (4) 第一章方案设计 (5) 第二章单元电路设计 (7) 第三章总体电路图 (13) 第四章课程设计总结 (15) 附表元件清单 (16) 附录参考文献 (17)

引言 随着社会的发展,科学技术的不断进步,对电子产品的性能要求也更高。我们做为21世纪的一名学电子的大学生,不仅要将理论知识学会,更应该将其应用与我们的日常生活中去,使理论与实践很好的结合起来。电子课程设计是电子技术学习中的一个非常重要的实践环节,能够真正体现我们是否完全吸收了所学的知识。 数字电子时钟是一个对标准频率(1HZ)进行计数的计数电路。通常使用石英晶体振荡器电路构成数字钟,以保证其频率的稳定。以10 进制计数器74HC390 来实现时间计数单元的计数功能。用4518作为计数电路,采用CD4511 作为显示译码电路。选择LED数码管作为显示电路。由CD4511 把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。用COMS 与或非门实现的时或分校时电路。该电路还有在整点前10 秒钟开始整点报时的功能。报时电路可选74HC30 来构成。时间以24 为一个周期。数字钟采用数字电路实现对“时”“分”“秒”数字显示的计时装置。具有时间显示、闹钟设置、报时功能、校时的功能。走时准确、显示直观、精度高、稳定等优点。

第一章方案设计 设计制作一多功能数字钟 一、设计任务: 设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各部分进行单元的设计和器件选择,最后将各部分连接在一起,设计出一个符合设计要求的完整系统电路 设计要求: (1) 时钟显示功能,能够十进制显示“时”、“分”、“秒”; (2) 小时高位具有零熄灭功能; (3) 具有整点报时功能; (4) 具有快速校准时间的功能。 二、设计方案 在设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各部分进行单元电路的设计、参数计算和器件选择,最后将各部分连接在一起,画出一个符合设计要求的系统电路图。

南航数字闹钟课程设计报告

第一部分设计任务 1.1设计任务 设计、制作一个带有校时功能、可定时起闹的数字闹钟。 1.2设计指标 1、有 “时” 、“分”十进制显示,“秒”使用分个位数码管上的DP点显示。 2、计时以24小时为周期。(23:59→00:00) 3、具有校时电路,可进行分、时较对。 4、走时过程能按预设的定时时间(精确到小时)启动闹钟产生闹铃, 闹铃响时约3s。

第二部分设计方案 2.1总体设计方案说明 系统组成: 秒信号发生器:由LM555构成多谐振荡器 走时电路:计数器和与非门组成 校时电路:秒信号调节 闹钟电路:跳线的方法由计数器、译码器、组合逻辑电路、单稳态电路组成 显示电路:译码器数码管 模块结构与方框图 第三部分电路设计与器件选择

3.1 秒信号发生器 3.1.1模块电路及参数计算 提供秒脉冲 取R1=1.5K,R2=2.4K C=220uF T≈1S 3.1.2工作原理和功能说明 3.1.3器件说明 3.2走时电路设计 内部电路组成: (1)分压器(3个R) (2)电压比较器 (A1、A2) (3)RS 触发器 (4)反相器 (5)晶体管T 1端GND 地 2端TR 低电平触发输入 3端UO 输出 4端RD 直接清0 5端CV 电压控制,不用 时经0.01F 电容 接地 6端TH 高电平触发输入 7端D 三极管集电极 8端VCC 电源(4.5V~18V) C R T C R R T PL PH 2217.0)(7.0=+=z 11 H T f == C R R T T T PL PH )2(7.021+=+=

3.2.1模块电路及参数计算 包括秒计时器、分计时器、时计时器,每一部分由两片计数器级联构成。 (1).秒计时器:十进制与六进制级联而成,由两片74LS163 和与非门 实现。 (2).分计时器:同秒计时器。 (3).时计时器:模24,计数显示00~23。由两片74LS160和与非门实 现。 3.2.2工作原理及功能说明 秒分计时器原理 时计数器原理

[数电课程设计数字电子时钟的实现] 电子时钟课程设计

[数电课程设计数字电子时钟的实现] 电子时 钟课程设计 课程设计报告设计题目:数字电子时钟的设计与实现班级: 学号: 姓名: 指导教师: 设计时间: 摘要钟表的数字化给人们生产生活带来了极大的方便,大大的扩展了原先钟表的报时。诸如,定时报警、按时自动打铃、时间程序自动控制等,这些,都是以钟表数字化为基础的。功能数字钟是一种用数字电路实现时、分、秒、计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。从原理上讲,数字钟是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,此次设计与制作数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及使用方法。

通过此次课程设计可以进一步学习与各种组合逻辑电路与时序电路的原理与使用方法。通过仿真过程也进一步学会了Multisim7的使用方法与注意事项。 本次所要设计的数字电子表可以满足使用者的一些特殊要求,输出方式灵活,如可以随意设置时、分、秒的输出,定点报时。由于集成电路技术的发展,,使数字电子钟具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。 关键词:数字钟,组合逻辑电路,时序电路,集成电路目录摘要 (1) 第1章概述············································3第2章课程设计任务及要求·······························42.1设计任务············································42.2设计要求············································4第3章系统设

多功能数字钟的设计任务书

课程设计任务书 学生姓名:___________ 专业班级:____________________ 指导教师:___________ 工作单位:信息工程学院 题目:多功能数字钟的设计仿真与制作_______________ 初始条件: 利用集成译码器、计数器、左时器、数码管、脉冲发生器和必要的门电路等数字器件实现系统设计。(也可以使用单片机系统设计实现) 要求完成的主要任务:(包括课程设汁工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多功能数字钟的设讣、仿真、装配与调试。 2、技术要求: ①设计一个数字钟。要求用六位数码管显示时间,格式为00: 00: 00。 ②具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或 12进制)计数。 ③有译码、七段数码显示功能,能显示时、分、秒计时的结果。 ④设计提供连续触发脉冲的脉冲信号发生器, ⑤具有校时单元、闹钟单元和整点报时单元。 ⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出 总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设汁工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 1)第1-2天,查阅相关资料,学习设计原理。 2)第34天,方案选择和电路设计仿真。 3)第4-5天,电路调试和设计说明书撰写。 4)第6天上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

冷丿 t・:A L11 •・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・・ 3 ~~1・1设计任务与要求 (3) 1.2方案设计与论证 (3) 第二章仿真软件介绍 (5) 2・1 Hultisim 11 简介 (5) 2.2 Multisim的特点. (5) 2. 3如何用Multisim输入并编辑电路 (6) 2. 3. 1设置Multisim的通用环境变量 (6) 2.3.2取用元器件 (7) 2・3・3将元器件连接成电路 (7) 第三章多功能数字钟单元电路的设计 (8) 3.1数字时钟的译码显示电路 (8) 3.2计数器电路 (9) 3.3校时电路 (11) 3.4 1Hz标准脉冲发生器 (12) 3.5整点报时电路 (14) 3.6闹钟电路 (14) 第四章多功能数字钟的仿真 (16) 4.1多功能数字钟的仿真设置 (16) 4・2仿真结果分析 (18) 4・3仿真过程中发现的问题 (19) 心得体会 (20) 参考文献 (22)

基于单片机的定时闹钟课程设计报告书

任务书 一、设计目的 本设计主要是对51单片机的一个方面的扩展,是能实现一般定时闹钟功能的设计。需要实现某一功能时,按对应的按键即可,经过多次验证,此设计灵活简便,可以实现显示、定时、修改定时、定时时间到能发出报警声的功能。 二、设计要求 1、能显示时时—分分—秒秒。 2、能够设定定时时间,并修改定时时间。 3、定时时间到能发出警报声。

目录 1.绪论 (1) 2.方案论证 (1) 3.方案说明 (2) 4.硬件方案设计 (2) 4.1单片机STC89C52 (2) 4.2 时钟电路 (4) 4.3数码管显示电路 (4) 4.4键盘电路 (6) 4.5报警电路 (7) 5.软件方案设计 (7) 5.1系统软件设计 (7) 5.2键盘程序 (7) 5.3 LED (8) 5.4音响报警电路 (8) 5.5 程序流程图 (8) 6.调试 (9) 7.小结 (10) 8.参考文献 (11) 9.附录:定时闹钟源程序 (12)

1.绪论 系统采用单片机STC89C52作为本设计的核心元件,在其基础上外围扩展芯片和外围电路,附加时钟电路,复位电路,键盘接口及LED显示器。键盘采用独立连接式。还有定时报警系统,即定时时间到,通过扬声器发出报警声,提示预先设定时间时间到,从而起到定时作用。 外围器件有LED显示驱动器及相应的显示数字电子钟设计与制作可采用单片机来完成。由于其功能的实现主要通过软件编程来完成,那么就降低了硬件电路的复杂性,而且其成本也有所降低,所以在该设计与制作中采用单片机STC89C52,它是低功耗、高性能的CMOS型8位单片机。片内带有8KB的Flash 存储器,且允许在系统内改写或用编程器编程。另外, STC89C52的指令系统和引脚与8051完全兼容,片内有512B的RAM、32条I/O口线、3个16位定时计数器、4个外部中断、一个7向量4级中断结构(兼容传统51的5向量2级中断结构)等。 在LED显示器中,分成静态显示和动态显示两类,在这个设计的最小系统中主要用了它的动态显示功能,动态显示器利用了人视觉的短暂停留,在数据的传输中是一个一个传输的,且先传输低位。 2.方案论证 单片机作为核心的控制元件,使得电路的可靠性比较高,功能也比较强大,而且可以随时的更新系统,进行不同状态的组合。 本系统采用单片机STC89C52作为本设计的核心元件,利用两个4位7段共阴LED作为显示器件。接入共阴LED显示器,可显示时,分钟,秒,单片机外围接有定时报警系统,定时时间到,蜂鸣器发出报警声,提示预先设定时间到。 电路由下列部分组成:时钟电路、复位电路、控制电路、LED显示、报警电路,芯片选用STC89C52单片机。 系统基本框图如图2.1所示:

基于verilog数字钟设计报告

基于verilog数字钟设计报告

一、课程设计目标 1. 熟悉并掌握verilog 硬件描述语言 2. 熟悉quartus 软件开发环境 3. 学会设计大中规模的数字电路,并领会其中的设计思想 二、课程设计实现的功能 (1)设计一个数码管实时显示时、分、秒的数字时钟(24小时显示模式); (2)可以调节小时,分钟。 (3)能够进行24小时和12小时的显示切换。(4)可以设置任意时刻闹钟,并且有开关闹钟功能。 (5)有整点报时功能,几点钟LED灯闪亮几下。 (6)有复位按键,复位后时间从零开始计时,但闹钟设置时间不变。 三、设计原理: 1、总原理框图:

2、各个子模块设计: (1)、分频模块: 分频模块的作用主要是要获得各种频率的时钟信号。输入信号为50MHZ的信号,要想获得1HZ的信号作为秒脉冲计时,则要对50MHZ信号分频。通过计数的方式,当计数从0开始到24 999999时,1HZ信号取反一次,计数又从0开始,如此循环,就可以得到1HZ脉冲信号。对于其他信号也是如此,只是计数值不一样,得到的分频信号不同。 部分代码如下: always@(posedge _50MHZ or negedge nCR)begin if(~nCR) begin Q1<=32'd0; end else if(Q1>=32'd2*******) begin

co=1'b0; cnt=cnt+8'd1; SL<=cnt%10; //秒十位 SH<=cnt/10; //秒各位 end end end endmodule 分计时和小时计时代码和上述类似,不再举出。 second u4(_1HZ,reset,mode_flag,Keydone2,SH24,SL24,co1); minute u5(co11,reset,MH24,ML24,co2); hour u6(co22,reset,HH24,HL24); (2)、模式选择模块: 同过一个模式档按键MODE,按一下产生对应一种模式mode_flag,并且可以循环。在不同的模式下可以进行不同的操作。其中mode_flag=4'0000为正常显示计时,mode_flag=4'0001为小时调钟模式,mode_flag=4'00010为分钟调钟模, mode_flag=4'0011为闹钟小时设置模式,mode_flag=4'0100为闹钟分钟设置模式。 模式产生: module mode (MODE,mode_flag); input MODE ; output [3:0]mode_flag; reg [3:0]mode_flag; always @ (negedge MODE )begin //如果检测到有按键按下 mode_flag = mode_flag+ 4'b1; //模式值加1 if(mode_flag == 4'b0101) //到最后一个模式后返回第一的模式 mode_flag = 2'b0; nd Endmodule 模式选择: module mode_choose(mode_flag,BT2,_250ms,co1,co2,set_co2,co11,co22,co111,co222); input [3:0]mode_flag; //输入模式方式,响应对应操作 input BT2,_250ms,co1,co2,set_co2; //调节按钮,时钟信号,正常计时分钟脉冲信号,正常计时小时脉冲信号,闹钟设置分钟进位信号。 output co11,co22,co111,co222; // 分钟脉冲信号,小时脉冲信号,闹钟设置分钟脉冲信号,闹钟设置小时脉冲信号; supply1 Vdd; reg co11,co22,co111,co222; always@(mode_flag)begin case (mode_flag) 4'b0001: begin if(~BT2) co22<=_250ms; //小时调钟模式,有按键,则脉冲为250ms else begin co22<=co2; //没有按键,正常计时 co11<=co1; end

相关主题
相关文档
最新文档