基于FPGA的玻璃缺陷图像采集处理系统
基于FPGA控制的图像采集和存储系统

储 系 统 结 构 。 计 了在 图 像 采 集 和存 储 系 统 中 的 F GA 控 制 模 块 , US 设 P 用 B通 讯 模 块 实 现 了 采 集 存 储 系统 和计 算 机 之 问 的 数
据 传输 , 足 了系 统 对 回放 的存 储 图像 不 丢 帧 的 要求 。经 实 际 检 验 , 好 地 满 足 了实 验要 求 。 满 较 关 键 词 :P A, F G 图像 采 集 和 存储 , B通讯 模 块 US
FP GA o t o s d sg e n t e s s e o ma e a q i i o n t r g . e mo u e o B r a ie h c n r l e i n d i h y t m fI g c u s t n a d s o a e Th d l fUS e l s t e i i z d t o mu a a i n b t e h ma e a q iiin a d s o a e s s e a d t e c mp t r a d t i c e a ac m n c t e we n t e I g c u st n t r g y t m n h o u e , n h s s h me o o me t h n e t a y t m ’S t r g i g s o o t P a t a p l a i n s o h s s h me s e s t e e d h t s se s o a e ma e i n t l s . r c i l a p i to h ws t i c e i c c ra o a l. e s n b e
的实 时采集 , 储并 回放存 储 图像 的 系统 。 存
l 系统结 构 与组 成
基于FPGA的高速图像采集处理系统优化

2018-8-27湖南省自然科学基金项目“非归一化约束下模糊C 均值聚类及其在图像处理中的应用研究”(项目编号:2018JJ4068)郭洪宾(1987-),男,河北邯郸人,湖南工业大学硕士研究生,研究方向:电气工程,现代电力电子技术及系统。
于惠钧(1975-)通信作者,男,河南省驻马店人,湖南工业大学教授,研究方向:系统保护与自动化技术。
罗梓张(1994-),男,湖南工业大学硕士研究生,研究方向:电气工程,现代电力电子技术及系统。
龚星宇(1996-),女,湖南衡阳人,湖南工业大学硕士,研究方向:现代电力电子技术及系统。
[收稿日期][基金项目][作者简介]郭洪宾,于惠钧,罗梓张,龚星宇,刘安海,黄星(湖南工业大学电气与信息工程学院,湖南株洲,412007)基于FPGA 的高速图像采集处理系统优化[摘要]针对图像检测与目标识别的方法精确性问题,本文采用硬件描述语言中提出的自顶向下设计思想和方法。
运用VERILOG HDL 硬件描述语言在QUARTUS ii 11.0软件上完成各个模块设计,而后运用MODELSIM 对模块进行波形仿真。
通过功能测试,系统满足设计要求。
[关键词]图像检测,VERILOG HDL ,波形仿真[中图分类号]TH878[文献标识码]A [文章编号]1671-5004(2019)01-0004-04Optimization on high speed image acquisition and processingsystem based on FPGAGuo Hongbin,Yu Huijun,Luo Zizhang,GongXingyu(School of Electrical and Information Engineering,Hunan University of Technology,Zhuzhou,Hunan,412007)[Abstract ]The accuracy of image detection and target identification method is analyzed.Design concept from hardwaredescription language,namely,Top-Down approach,is used.The study adopts the VERILOG HDL hardware description language to complete each module design on the software QUARTUS ii 11.0.Then,the waveform is simulated using MODELSIM.After the system design is completed,functions are tested on the development board and are proved to meet the design requirements.[Key words ]image detection;VERILOG HDL;waveform simulation1引言视频图像处理,可以通过图像传感器进行信息采集,把采集到的模拟信号图像,转化为数字图像,而数字图像在计算机中被分割矩阵式的数学模型,矩阵上各个点代表的是像素的灰度值,各像素的不同灰度值用整数表示[1]。
基于FPGA的全景图像采集与远程传输系统

t a ,a n d t h e n e t w o r k c h i p 8 8 E 1 1 1 1 t r a n s m i t s t h e v i d e o d a t a t o N e t w o r k s e r v e r .T w o m o d u l e s a r e w i r t t e n i n Q u a r t u s I I
’ 、_ J
u 十爿 寺二 u峦 席 删
E l e c t r o n i c S c i . &T e c h . / J u l y . 1 5. 2 0 1 3
基于 F P G A的全 景 图像 采 集 与远 程 传 输 系统
张 忠 民 ,牛 功 喜
( 哈 尔 滨 工 程 大 学 信 息 与 通信 工 程 学 院 ,黑 龙 江 哈 尔滨 摘 1 5 0 0 0 1 ) 要 结 合 国 内外视 频 采 集传 输 的技 术 特 点 ,介 绍 了一 种基 于 F P G A 的 全 景 图像 高速 采 集 与 网 络 传 输 系统 的 实
( C o l l e g e o f I n f o r ma t i o n a n d c o mmu n i c a t i o n E n g i n e e r i n g ,H a r b i n E n g i n e e r i n g U n i v e r s i t y ,Ha r b i n 1 5 0 0 0 1 ,C h i n a )
Ab s t r a c t Ac c o r d i n g t o t h e c h a r a c t e r i s t i c s o f v i d e o c o l l e c t i o n a n d t r a n s mi s s i o n t e c h n o l o g y, t h i s p a p e r i n t r o — d u c e s a s y s t e m o f h i g h — s p e e d p a n o r a mi c i ma g e a c q u i s i t i o n a n d n e t wo r k t r a n s mi s s i o n. T h e p a n o r a mi c i ma g e p l a t f o r m c o n s i s t s o f t h e c u r v e d mi r r o r a n d t h e C S C1 2 M2 5BMP1 9 CCD Ca me r a . Th e s y s t e m c o l l e c t s s e r i a l — p a r a l l e l c o n v e r s i o n i ma g e d a t a c o n v e r t e d b y DS 9 0C R2 8 8 A c h i p b a s e d o n Ca me r a Li n k i n t e r f a c e, a n d M DR 2 6 i s u s e d a s t h e c o n n e c t o r . F P GA c h i p a s t h e c o r e c o n t r o l l e r d o mi n a t e s t h e r e c e p t i o n, c a c h i n g a n d I n t e r n e t t r a n s mi s s i o n o f h i g h s p e e d v i d e o d a —
基于FPGA的双通道CMOS图像采集系统设计

0 引 言
整 个 系 统 的 可 靠 性 。 同 时 , 用 2片 大 容 量 的 S R M 以 采 D A
目前 , 越来越多 的图像采集 系统采用 C S图像传 感 MO
器 …作为图像采 集器件 。随着 集成 电路设 计技 术 的不断 提高 , MO C S图像传 感器 的采集速 度不 断增大 。在 实际 应用 中, 传统 的单通道 数据传输 方式和单 片机实现 的图像 处理系统 已经远远无法满 足设计要 求 , 须采用新 的数据 必 传输方式和设计方法来实现图像采集 、 传输和存储功能。
本 文 设 计 了一 种 以 F G 芯 片 为 核 心 处 理 器 的 双 通 道 PA
乒乓操作 的方式进行 数据存储 , 保证 能够实时地接 收图像
传感器产生的图像数据 。 经综合 分 析 和考 虑,P A采 用 A e FG hr a的 C c n yl e o E 2 3 F8 C 视频采集芯片用 飞利 浦的 S A 132块 型 P C 5 4 4 8, A 71 , 号为 K S 42的 S R M 和 F G 463 D A P A构成 图像 帧存储 与传输
Ab ta t Re lt r c s ig o g s u u l o t — e k i mb d e ma e p o e sn y tm. a i g sr c : a — me p o e sn fi e i s al a b t e n c n e e d d i g rc s i g s s i ma y l e T kn
teavnaeo aae poes g af l por al gt ar ( P A)b sdC S i g cu io h da t fprll rcsi , e rga g l n id mm b a r y F G e e a ae MO mae aq it n si ss m ids nd T em d l s ut eds n fs i fsotFF yt ei e . h o u rc r ei ,rtni t u( IO)adpn—ags r cn l e r ue e s g et u g i r n igpn o t h o g s e sd tee o i a
FPGA图像采集系统原型的设计与实现的开题报告

FPGA图像采集系统原型的设计与实现的开题报告一、研究背景和目的随着科技的不断发展,图像处理技术在诸多领域中得到广泛应用,如人脸识别、安防监控等。
其中,图像采集是图像处理的基础步骤,其准确性和实时性对后续图像处理结果的质量有重要影响。
因此,图像采集系统的设计与实现是图像处理技术应用的重要组成部分。
本项目旨在设计与实现一种基于FPGA(现场可编程逻辑门阵列)的图像采集系统原型,并对其进行性能测试与优化。
FPGA作为一种高度可编程的器件,具有高速、低功耗等优点,特别适合用于图像采集系统的设计。
二、研究内容和方案本研究分为以下两个主要内容:1、设计与实现FPGA图像采集系统原型系统硬件设计采用FPGA开发板、摄像头模块、显示屏等硬件设备,软件设计采用Verilog HDL语言进行开发。
系统包括图像采集模块、图像处理模块、存储模块和显示模块,其中,图像采集模块负责采集摄像头输出的图像,图像处理模块负责对图像进行预处理和预处理,存储模块负责将处理后的数据保存到存储器中,显示模块负责将处理后的图像显示在显示器上。
2、性能测试与优化对系统进行性能测试,包括采集速度、存储速度和显示速度等方面的测试。
测试结果将用于优化系统设计,改进系统性能,提高系统的稳定性和可靠性。
三、预期成果和意义预期成果:1、完成FPGA图像采集系统原型的设计与实现,实现图像采集、处理、存储和显示等基本功能;2、对系统进行性能测试,得到系统的性能指标,并进行性能优化,提高系统的效率和稳定性。
意义:1、为图像处理技术应用提供高效、稳定和可靠的图像采集手段;2、为提高FPGA及其应用的研究水平提供一种新的思路和设计方法。
四、进度计划阶段一:调研和文献综述,确定系统设计要求和硬件/软件平台,完成初步设计方案和模块划分;阶段二:系统硬件的搭建和软件的开发,进行基本功能的测试和调试;阶段三:系统性能测试,得到系统的性能指标;阶段四:性能优化,改进系统设计和算法,提高系统的效率和稳定性;阶段五:写出毕业论文并进行答辩。
基于Altera 片上系统FPGA的图像采集系统的设计

基于Altera 片上系统FPGA的图像采集系统的设计摘要:该设计采用了Altera公司的DE1-SoC开发板和208C监控摄像头实现了基于片上系统FPGA的图像采集系统。
本文详细介绍了基于Altera片上系统FPGA的嵌入式系统的设计方法,包括基于Qsys的的系统硬件设计和基于片上系统EDS嵌入式软件设计。
该设计采用的是Altera公司提供的soc_training image镜像内核文件,写入外存SD卡中,进行启动linux操作系统,在QSYS中构建所需的硬件模块,在EDS开发套件平台上编写应用软件程序,最后通过板级验证实现系统功能。
关键词:嵌入式设计;FPGA;硬核处理器;图像采集Abstract:In the paper,an image acquisition system based on SoC FPGA is realized, which using Altera’s DE1-SoC development board and 208C surveillance camera.This paper introduces the embedded system design method based on Altera’s SoC FPGA,including the system hardware design based on Qsys and embedded software design based on SoC EDS development suite.This design is used soc_training image kernel image file by Altera’s and write external SD card, starting with the Linux operating system,the required hardware module is added in Qsys and the application software is added in EDS development kit platform. Finally,the system’s function is realized through the board level verification.Key words:embedded design;FPGA;hard-core processor;image acquisition引言随着人类活动范围的不断扩大,图像处理的应用领域也将随之不断扩大,而数字图像技术也广泛的应用到很多领域,如工业和工程方面、远程监控、航天航空、生物医学工程、机器人视觉、视屏和多媒体系统等。
基于FPGA的高分辨率图像采集系统设计
基于FPGA的高分辨率图像采集系统设计摘要:为满足目前监控船舶电子海图、雷达等高分辨率图像的需求,提出并实现了采用以FPGA为核心进行图像采集、处理的系统。
系统采用了Altera公司的CycloneIV FPGA为核心,通过ADI公司的图像解码芯片ADV7604完成对模拟VGA信号的采集。
FPGA完成对ADC芯片的控制,数字图像存储、压缩及传输处理等。
经过相关软硬件测试,本系统可以满足对1600×1200@60Hz高分辨率VGA图像的采集与处理,且效果良好。
关键词:图像采集;FPGA;ADV7604;数字图像处理引言随着计算机技术的日益发展,图像应用愈加广泛,并已经成为工程学、计算机科学、信息科学、物理学、化学等领域各个学科之间学习和研究的对象。
与此同时,FPGA因其高性能、高灵活性以及低开发成本等优点,在通信、医疗、计算机、电力等各个领域得到广泛应用,同样,该CPU也非常适合视频和图像处理应用。
因此,针对目前船舶电子海图以及导航雷达监控的需要,采用了FPGA作为核心CPU进行图像采集处理。
FPGA图像采集系统设计的总体结构如图1所示,外部输入VGA模拟图像,经过图像采集芯片ADV7604转化为数字图像之后送入FPGA,FPGA从中提取出有效的图像数据,并将其进行图像抽取、压缩等处理,DDR2 SDRAM完成对大数据量图像数据的缓存,待图像处理算法完成后,按照规定好的通信协议打包,通过以太网送到外部主机单元,做进一步处理。
在上述图像采集处理过程中,FPGA主要完成了以下工作:1)对ADC芯片进行上电初始化工作;2)完成对数字图像的抽取;3)完成对图像的压缩、去噪等算法处理;4)完成对DDR2 SDRAM存储器的缓存控制;5)完成对PHY芯片的控制,图像数据打包发送工作。
图像采集单元硬件平台设计硬件平台采用了Altera公司的第四代Cyclone FPGA,该系列FPGA因其较高的信价比而被采用,无论其工艺、性能、成本以及货期都满足要求;ADC图像采集芯片选用了ADI公司的ADV7604芯片,该芯片工作温度范围-40℃~70℃,能够采集1600×1200@60HZ分辨率的VGA图像。
基于FPGA的CMOS图像传感器采集系统设计
0 引言随着电子工艺技术的进步,CMOS图像传感器在工业相机领域获得了广泛的应用,成为工业相机的主流传感器类型。
CMOS图像传感器作为一种代表性的固体成像传感器,它主要由像素阵列、行列驱动器、时序控制器、模拟信号处理、模数转换器、数据总线输出接口等部分组成。
相比于CCD图像传感器,CMOS图像传感器具有重量轻、占用空间减少、工艺简单、功耗低、总价低等优点,适合于大规模的批量生产和应用。
FPGA当前在很多领域被用户广泛使用,具有集成度高、体积小、速度快、开发周期短、用户可自定义功能、设计灵活等优点。
在图像处理领域,依靠FPGA内部并行数据处理能力可以完成复杂的运算,对于高速、大数据量的视频流信号可以实现实时、可靠的运算处理。
一些高性能FPGA内部还集成了数字信号处理器件单元,这对于实现数字信号处理更为便利。
1 系统设计在本系统设计中,FPGA作为主控芯片负责管理整个系统电路。
完成CMOS图像传感器的寄存器参数配置主要通过I2C接口实现。
根据I2C协议可以调整CMOS图像传感器的像素显示窗口范围,消隐行范围、增益范围等。
由CMOS图像传感器采集图像信息,输出并行10比特接口数据送给FPGA。
10比特接口数据为RAW数据,数据格式为Bayer阵列。
FPGA 将Bayer阵列像素信号转换成RGB信号,RGB信号被放入SDRAM中进行缓存,由FPGA内部的SDRAM控制器模块对外部SDRAM芯片进行数据读写操作,将RGB数字图像数据送给数模转换器进行数模转换,输出RGB模拟信号,再经由VGA接口送到显示器上完成图像显示。
本设计系统框图如图1所示。
图1 CMOS图像传感器采集系统2 硬件设计本设计的FPGA芯片采用ALTERA公司的Cyclone IV芯片,具有低成本、低功耗的特点。
选用的Cyclone IV芯片中,嵌入式存储器最高可为6.3Mb,逻辑单元最大为150K,18 ×18乘法器最大为360个,可以实现DSP处理协议桥接应用及密集型应用。
《基于工业视觉的玻璃面板瑕疵检测系统》范文
《基于工业视觉的玻璃面板瑕疵检测系统》篇一一、引言随着工业自动化和智能化的发展,工业视觉技术被广泛应用于各种生产线的质量检测环节。
玻璃面板作为众多产品的重要组成部分,其表面质量的检测显得尤为重要。
本文将介绍一种基于工业视觉的玻璃面板瑕疵检测系统,该系统能够高效、准确地检测玻璃面板的表面瑕疵,提高生产效率和产品质量。
二、系统概述基于工业视觉的玻璃面板瑕疵检测系统主要由图像采集、图像处理、瑕疵识别和结果输出四个部分组成。
系统通过高分辨率摄像头采集玻璃面板的图像,然后利用图像处理技术对图像进行预处理、特征提取和瑕疵识别,最后将检测结果以可视化方式输出。
三、系统原理1. 图像采集:系统采用高分辨率、高帧率的摄像头,以一定的速度连续采集玻璃面板的图像。
为了确保图像的清晰度和准确性,摄像头可进行自动对焦和曝光调整。
2. 图像处理:采集到的图像经过预处理,如去噪、增强对比度等,以提高图像质量。
然后,通过特征提取技术,提取出玻璃面板表面的关键特征,如瑕疵的形状、大小、位置等。
3. 瑕疵识别:系统采用机器学习算法对提取的特征进行训练和分类,以识别出玻璃面板表面的瑕疵。
这些算法可以通过不断学习和优化,提高瑕疵识别的准确性和效率。
4. 结果输出:系统将检测结果以可视化方式输出,如将有瑕疵的玻璃面板进行标记,并将检测报告以文字或图表形式输出。
四、系统应用基于工业视觉的玻璃面板瑕疵检测系统广泛应用于玻璃制造、家电、汽车等行业的生产线中。
该系统能够高效、准确地检测出玻璃面板表面的各种瑕疵,如划痕、气泡、斑点等,提高产品的质量。
同时,该系统还能减少人工检测的工作量,提高生产效率,降低生产成本。
五、系统优势1. 高精度:基于工业视觉的玻璃面板瑕疵检测系统采用高分辨率摄像头和先进的图像处理技术,能够准确检测出玻璃面板表面的微小瑕疵。
2. 高效率:该系统能够以高速度连续检测玻璃面板,提高生产效率。
3. 自动化:该系统实现自动化检测,减少人工干预,降低人为因素对检测结果的影响。
基于fpga的实时双目图像采集与预处理系统设计
1 系统结构
基于 FPGA 的实时双目图像采集与预处理系统 主要包括图像采集尧存储尧预处理和显示等模块袁实 现图像数据的实时采集显示与预处理遥 系统总体结 构如图 1 所示遥
CMOS OV5640
图像 传感器1
CMOS OV5640
图像 传感器2
HDMI 显示屏
I2C配置 寄存器
图像 采集模块 I2C配置 寄存器
图像采集与预处理系统是通过图像传感器获 取客观世界的图像信息袁并对得到的图像信息进 行存储和预处理的过程袁被广泛应用于智能交通 监控领域遥 随着道路车流量的不断增加袁传统的单 目图像采集在对多目标车辆识别时袁很难获取多个 目标之间的位置信息遥 而双目图像采集可以基于视
பைடு நூலகம்
差的原理获取目标的三维信息袁为进一步研究多目 标车辆识别提供基础平台[1]遥 目前袁图像采集硬件平 台主要有 ASIC袁ARM袁FPGA 和 DSP遥 FPGA 具有体 积小尧并行处理等特点袁其设计的实时性尧灵活性 也远高于其它平台袁使其在图像处理领域中应用 广泛遥
图像 采集模块
读写 FIFO 控制 模块
显示 模块
并行 中值 滤波 模块
写仲裁 模块 读仲裁 模块
AXI 接口 控制 MIG 模块 核
DDR3 SDRAM
FPGA
图 1 系统总体结构 Fig.1 Overall structure of the system
系统上电后袁 通过 I2C 总线配置 OV5640 图像 传感器的寄存器遥 由图像采集模块实时获取图像传 感器采集到图像的帧行信号尧10 bit 图像数据和像 素时钟袁将图像数据转换成 RGB 模式输出遥 由于相 机时钟为 50 MHz袁DDR3 工作时钟为 100 MHz袁需 要读写 FIFO 完成跨时钟域操作遥 将采集的 2 路图 像数据缓存在 2 个写 FIFO 中袁 在写仲裁模块采用 轮询的方式选择一路图像数据袁再通过 AXI 总线控 制袁利用 MIG 核对片外存储芯片 DDR3 进行读写操 作遥 同样袁在读仲裁模块采用轮询的方式读取一路 图像数据袁 将读取的图像数据经过读 FIFO 缓存送 入滤波模块进行降噪处理遥 最后通过显示模块袁在 HDMI 显示屏上实时显示 2 帧分辨率为 1920伊1080袁 帧频为 30 f/s 的图像遥 1.1 CMOS OV5640 采集模块
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
http://www.paper.edu.cn - 1 - 基于FPGA的玻璃缺陷图像采集处理系统1 严华宇,李方敏 武汉理工大学信息工程学院,湖北武汉(430070) E-mail:yhyandsony@126.com 摘 要:在进行图像采集过程中,重点考虑采集系统的实时性,而本课题中选用的多线阵CCD拼接图像的采集方法势必导致在低级算法阶段会有极 大的数据流,应用一个高速的嵌入式处理模块则能很好的完成图像处理的低级算法部分。本文分析了玻璃缺陷采集处理系统的工作过程,对系统内存控制作了详细的描述,并在FPGA内实现了图像的低级处理,从而使计算机从低级处理的大量数据中解脱出来。 关键词:图像采集,图像处理,FPGA,SDRAM; 中图法分类号:TP274 文献标识码:A
1本课题得到教育部博士点基金(200504970006)的资助。
1. 引言 玻璃缺陷检测是玻璃生产过程中一个相当重要课题,玻璃缺陷(气泡、结石、锡点等)妨碍了其在重大技术领域中的应用,不但影响了产品的外观,同时由于它的不平整度及屈光度的影响,使得人透过玻璃观察实物时受到障碍。目前国内外在本领域的研究成果大致为分别利用线、面阵CCD获取表面图像,由后续软件对图像加以分析的方法来实现对表面质量 的检测处理。本课题正是基于这样一种指导思想下,设计了一种基于FPGA的玻璃缺陷在线检测系统方案,利用FPGA实时、高速、可重构的特点,并对玻璃缺陷图像进行的预处理(卷积运算),从而大大降低了后期数据处理的压力,使得图像处理系统运算速度快,具有很好的适应性。
2. 图像采集处理系统硬件平台 在图像信号处理过程中为保证实时性,首先要求实时图像处理系统具有处理大数据的能力;其次对系统的体积大小、功能、稳定性等也有严格的要求。实时图像处理算法中经常要用到对图像的求和、求差运算、二维梯度运算、图像分割等不同层次、不同种类的运算[1]。
基于上述因素,考虑到FPGA和DSP的优异性能,我们在玻璃缺陷检测系统中采用了如图1所示的硬件平台。
图1 图像采集处理系统框图 系统内各模块功能简要描述如下:(1)视频采集异步FIFO模块(内嵌于FPGA中)接收 http://www.paper.edu.cn - 2 - 从视频A/D芯片SAA7113送来的数字视频流,解决系统数据缓冲和异步时钟的问题,因为: SAA7113送过来的LLC频率为27MHz,而FPGA系统时钟频率为48MHz. (2)视频解码模块用来对得到的数字视频流进行解码,识别出行、场同步信号,并且根据需要选择采集图像的大小。(3) I2C配置接口模块(通过EP2C35进行模拟配置)通过I2C总线对SAA7113
进行初始化配置,选择产生的数字视频格式等。(4)图像帧存控制模块用来读写系统两片帧存储器并且可以在它们之间进行读写切换操作。(5)图像低级处理模块从帧存中读取一帧图像数据,对其进行底层大量运算的图像预处理,如噪声消除、边缘检测等,最后将处理完的图像数据经通信模块送至后端DSP处理器做进一步操作。此外由于采用基于SDRAM工艺的FPGA芯片,所以还需要配置电路在上电时对FPGA进行配置。 系统上电时,FPGA首先从外部配置芯片中读取配置数据,通过AS主动串行方式完成自身的程序加载,进入工作模式状态。随后I2C配置接口模块完成对SAA7113的初始化,
初始化结束后,FPGA等待采集图像的命令。FPGA收到采集命令后,启动采集视频数据模块、异步FIFO模块和视频解码模块进行解码,将数据轮换写到两个帧存中,供图像低级处理模块处理、经DSP对图像做了进一步处理后由通信模块送往工控PC。
3. 系统中内存管理和图像低级处理技术
3.1 SDRAM控制实现及仿真 视频转换芯片输出的视频图像数据通过8位总线VPO传输给FPGA,FPGA需要将数据保存到SDRAM中,SDRAM在读写上有严格的时序要求。SDRAM的命令由RAS_n、CAS_n、WE_n和LOAD_mode构成,分别表示行选择、列选择、读写控制和寄存器配置控制[2]。在本系统中,我们设计了SDRAM(基于FPGA)接口模块,该模块控制SDRAM的
命令与时序。模块内设计了初始化机制和系统指令分析机制。初始化机制不仅要完成对SDRAM的初始化配置,还要完成对控制器的初始化配置,从而使控制器与外部SDRAM的工作模式一致。为了实现高效的SDRAM存取,提高SDRAM总线的利用率,SDRAM接口模块CLK采用133MHz的高速时钟,当得到数据存取模块的读FIFO要求后,向SDRAM连续写入16个字.当得到PC接口模块的读SDRAM请求后读出1个字,其他时间保证SDRAM进行刷新工作,以免数据丢失。从我们的硬件设计平台可以看出,系统中的SDRAM分别由PFGA和DSP控制。当DSP和FPGA完成对相应SDRAM的操作后,需要进行总线切换。总线切换后,DSP和FPGA开始对另一块SDRAM进行相应操作。其主要代码如下: //控制信号tg =1 ,dsp 的EMIF接口连接到sdram1,dsp 从sdram1中读取数据 //控制信号tg=0,切换到sdram2 assign ed_sdram=tg?sdram_1_in_ed:sdram_2_in_ed; assign sdram_1_o_ed = tg ? 8'hzz : ld; assign sdram_1_oen = tg ? 1'b0 :1'b1; assign sdram_1_ea= tg ? ea_sdram : l a; assign sdram_1_ce=tg? ce_sdram: sdram_ce; assign sdram_1_oe= tg ?oe_sdram :sdram_oe; assign sdram_1_we= tg ? we_sdram: sdram_we;
//控制信号tg =1 ,fpga连接到sdram2,fpga写数据到sdram2 http://www.paper.edu.cn - 3 - //控制信号tg=0,切换到SDRAM1 assign sdram_2_o_ed = tg ? ld:8'hzz; assign sdram_2_oen = tg ? 1'b1:1'b0; assign sdram_2_ea = tg?la:ea_sdram; assign sdram _2_ce= tg?sdram_ec:ce_sdram ; assign sdram_2_oe= tg?sdram_oe: oe_sdram; assign sdram_2_we= tg?sdram_we: we_sdram ;
图2 SDRAM读写时序图 3.2图像低级处理的FPGA实现 图像处理系统中,底层的图像预处理(滤波、锐化)的数据量很大,要求运算速度很快,但运算结构相对比较简单,适应于FPGA通过硬件实现。而在数字信号处理中,卷积器经常被用于图像滤波、边缘检测、图像锐化[3],我们在玻璃缺陷检测系统中,对采集的图像进行
滤波去噪处理,以降低后续处理运算的压力。设对于两个长度分别为 m 和 n 的序列f (i)和g( j) ,我们可以给出一个长度为N = m + n -1的输出序列: ∑−=∗=jjigifigifih)()()()()(
设f (i)为模板序列{w1,w2,w3,…,wk},g( j)为输入序列{x1,x2,x3,…,xn},则模板匹配后的结果序列为{y1,y2,y3,…,yn-k+1 },则
1121...−+++++=kikiiixwxwxwy
上式显然与常规意义下的卷积有所不同,但只要将{w1,w2,w3,…,wk}从左到右按镜像排列预 置于模块中即可。可以看出,每个输入点xi都要与模块中的所有元素进行累加和相乘。
VHDL程序顶层文件代码如下:[4] entity muladd is port ( ain: in std_logic_vector(7 downto 0); bin: in std_logic_vector(15 downto 0); c: in std_logic; dout: out std_logic_vector(15 downto 0) ); end muladd; architecture muladd_arch of muladd is http://www.paper.edu.cn - 4 - component adder16b is port ( a: in std_logic _vector(15 downto 0); b: in std_logic _vector(15 downto 0); cin: in std_logic; cout: out std_logic; s: out std_logic _vector(15 downto 0) ); end component; component multi8 is port(a:in std_logic_vector(7 downto 0); c:in std_logic; prod:out std_logic_vector(15 downto 0) ); end component; component fd16ce is port(d:in std_logic_vector(15 downto 0); ce,c,clr:in std_logic; q:out std_logic_vector(15 downto 0) ); end component; signal pro,do:std_logic_vector(15 downto 0); signal gndint ,vccint:std_logic; begin gndint<='0';vccint<=’1’; u1:multi8 port map(a=>ain(7 downto 0),c=>c,prod=>pro(15 downto 0)); u2:adder8b port map(a=>pro(15 downto 8),b=>bin(15 downto 8), cin=>gndint,cout=>gndint,s=>do); u3:fd16ce portmap(d=>do,c=>c,ce=>vccint,clr=>gndint,q=>dout); end muladd_arch; 假设模板为{1,1,1},其仿真波形如图3所示,在实际操作中,模板的值预置于每个单元中,很容易修改,可以方便的完成各种“窗口”的卷积运算。在卷积实现的基础上,只要采用不同系数的模板就可以完成各种运算,而且如果将卷积中的乘法和加法运算,分别换成比较运算和布尔运算中的“与”运算,那么卷积问题就换成了图像匹配问题了。如果将乘法运算改为加减法,将累加运算改为取最大最小值,就可以完成灰度图像的膨胀和腐蚀运算,这对于我们进行玻璃缺陷检测是有着极大帮助的。