数字电路课后题参考答案

习题参考答案

注:参考答案,并不是唯一答案或不一定是最好答案。仅供大家参考。

第一章习题

2. C B A D B A C B A F ⋅⋅+⋅⋅+⋅⋅=

3. 设:逻辑变量A 、B 、C 、D 分别表示占有40%、30%、20%、10%股份的四个股东,各变量取值为1表示该股东投赞成票;F 表示表决结果,F =1表示表决通过。 F =AB +AC +BCD

4. 设:A 、B 开关接至上方为1,接至下方为0;F 灯亮为1,灯灭为0。

F =A ⊙B

5. 设:10kW 、15kW 、25kW 三台用电设备分别为A 、B 、C ,设15kW 和25kW 两台发电机组分别为Y 和Z ,且均用“0”表示不工作,用“1”表示工作。

C AB Z B

A B A Y ⋅=⋅=

6.输入为余3码,用A 、B 、C 、D 表示,输出为8421BCD 码,用Y 0、Y 1、Y 2、Y 3表示。

D C A B A Y C

B D

C B

D B Y D

C Y D

Y ⋅⋅+⋅=⋅+⋅⋅+⋅=⊕==3210

7. 设:红、绿、黄灯分别用A 、B 、C 表示,灯亮时为1,灯灭时为0;输出用F 表示,灯正常工作时为0,灯出现故障时为1。

C A B A C B A F ⋅+⋅+⋅⋅=

8. D C B D A H D

C B A

D C B A D C B A D C B A G D

C B A

D C A B A F D

C B A E ⋅⋅+⋅=⋅⋅⋅+⋅⋅⋅+⋅⋅⋅+⋅⋅⋅=⋅⋅⋅+⋅⋅+⋅=⋅⋅⋅=

第二章习题

1. 设:红、绿、黄灯分别用A 、B 、C 表示,灯亮时其值为1,灯灭时其值为0;输出报警信号用Y 表示,灯正常工作时其值为0,灯出现故障时其值为1。

AC AB C B A Y ⋅⋅=

2. 设:烟、温度和有害气体三种不同类型的探测器的输出信号用A 、B 、C 表示,作为报警信号电路的输入,有火灾探测信号时用1表示,没有时用0表示。报警信号电路的书躇用Y 表示,有报警信号时用1表示,没有时用0表示。

BC AC AB Y ⋅⋅=

3. 设:输入信号A 、B 、C 为三台设备有无故障的信号,1表示有故障,0表示无故障。输出信号X 、Y 分别表示黄灯、红灯是否亮的信号,1表示灯亮。0表示灯不亮。

C

A C

B B A Y

C B A C B A C B A C B A C B A X ⋅+⋅+⋅=⊕⊕=⋅⋅+⋅⋅+⋅⋅+⋅⋅= 4.

B

A B A Y C A AC Y B

A A

B Y W Y R +==+==+== 其中:红灯为Y R ,黄灯为Y Y ,绿灯为Y W 。

5. 设:旅客列车分为特快、直快、慢车用A 、B 、C 表示,输出用F A 、F B 、F C 表示。

C B A F B

A F A

F C B A ⋅⋅=== 6. BC AC AB X ⋅⋅=

第三章习题

1. 设:供血方的血型用变量WX 的取值表示,受血方的血型用变量YZ 的取值表示,血型编码为:O 型(00);、A 型(01)、B 型(10)、AB 型(11),即当WX ==00时,表示供血方的血型为O 型;YZ =00则表示受血方的血型为O 型。输出信号是血型配对结果,用F 表示,F =1表示血型不符,指示灯亮;F =0表示血型配对成功,指示灯不亮。

Z X Y W F +=

2设:主裁判A ,两名副裁判为B 、C 。运动场响电铃与否用Y 表示。运动场响电铃是Y =1,表示动作成功。电铃不响Y =0,表示动作不成功。

Y =AB +AC

3.设:董事会的四人用A 、B 、C 、D 表示,其中A 为董事长。计划通过与否用Z 表示,计划通过Z =1,否则为0。

Z =ACD +ABD +ABC

4.设:输入变量为D 2、D 1、D 0;输出变量为F 1、F 2、F 3。并且当F 1=1时表示D 2D 1D 0>4;当F 2=1时,表示D 2、D 1、D 0中多数个1;当F 3=1时,表示D 2、D 1、D 0中有奇数个1。

7

42101201201201237

65301201201201227

650120120121Y Y Y Y D D D D D D D D D D D D F Y Y Y Y D D D D D D D D D D D D F Y Y Y D D D D D D D D D F ⋅⋅⋅=+++=⋅⋅⋅=+++=⋅⋅=++=

5. 设:A =A 2、B =A 1、C =A 0。 则:7420Y Y Y Y F ⋅⋅⋅=

6. 设:输入为A 、B 、C ,开关合上为1,路灯用G 表示,灯亮为1。否则为0。

C B A G ⊕⊕=

7.设:输入变量A 、B 、C 分别表示三个班学生是否上自习,1表示上自习,0表示不上自习;输出变量Y 、G 分别表示大教室、小教室的灯是否亮,1表示亮,0表示灭。

BC AC AB Y ++=

C B A G ⊕⊕=

8. 设:A 表示性别; B 、C 、D 分别表示黄票、红票和绿票;输出变量为F ,

D AB C A F ++=

9.设:自动关门信号为A ,A =1表示自动关门;手动关门信号为B ,B =1表示手动关门;下一段路轨空出信号为C ,C =1表示空出;列车可以开出信号为Y ,Y =1表示可以开出。

Y = C (A +B )

10. 设:红、黄、绿三种颜色的交通信号灯分别用A 、B 、C 表示,且1表示灯亮,0

表示灯灭;信号灯工作正常与否用Y 表示,且1表示工作正常,0表示工作不正常。 逻辑表达式为:C B C A B A C B A Y ⋅+⋅+⋅+⋅⋅=

第四章习题

1.

2.

3.在机械开关后面接上一个基本RS 触发器,连接方法如图所示,即可消除开关振颤产生的影响。

4.

5. S1为开,S2为关。

6.从CP与Q波形关系可看出,Q对CP二分频。从Y的波形看,Q

CP

Y⊕

=。连接电路如图所示。

第五章习题

5. 时钟方程:CP2=CP1=CP0=CP

输出方程:

Y=Q1Q2n

驱动方程:J2=Q1n K

2

=Q1n J1=Q0n K1=Q0n

K0=Q2n J0=Q2n

状态方程:Q2n+1=Q1n

Q1n+1=Q0n

Q0n+1=Q2n

状态表

Q2n Q1n Q0n Q2n+1Q1n+1Q0n+1Y

0 0 0 0 0 1 0

0 0 1 0 1 1 0

0 1 0 1 0 1 0

0 1 1 1 1 1 0

1 0 0 0 0 0 1

1 0 1 0 1 0 1

1 1 0 1 0 0 0

1 1 1 1 1 0 0

画状态转换图

状态图(时序图)

电路功能

有效循环的6个状态分别是0~5这6个十进制数字的格雷码,并且在时钟脉冲CP的作用下,这6个状态是按递增规律变化的,即:

000→001→011→111→110→100→000→…

所以这是一个用格雷码表示的六进制同步加法计数器。当对第6个脉冲计数时,计数器又重新从000开始计数,并产生输出Y=1。

6. 该控制电路实际上是一个周期为13的序列产生器,要产生的序列为1110010010001。输入时钟频率:f=5Hz;亮灭频率:f=0.5Hz;分频次数:N=10。

7.输入时钟频率f=4Hz;彩灯亮灭时钟频率f=1Hz;首先进行4分频,用1片74LS194

接为模4扭环形计数器来实现。另外,由于4种颜色的彩灯每次只依次点亮1种颜色的彩灯,因此可用1片74LS194按照右移方式来控制彩灯的灭亮。

8. 解:该控制电路实际上是一个模100的可逆计数器。设上、下楼传感器信号分别

为X和Y,控制电路输出为Z,且Z=0表示门禁自动阻止,且Z=1表示门禁自动打开。

9.

10. 64进制计数器。

11.4位环形计数器。

12.

3. 按钮S按下时,2脚输入一个负脉冲,555输出端输出高电平,继电器J得电,J1

闭合,灯亮。同时555内部放电管截止,V cc通过R2向C1充电,充至2V cc/3,电路翻转,继电器J失电,J1复位为常开状态,灯暗。

延时时间:t w=R2C1ln3≈110s

4.

5.

6.

2. 01011001,10101110

3. (1) D7~D0=00000001时,U O= -23mV

(2) D7~D0=10000000时,U O= -2.944V

(3) D7~D0=01111111时,U O= -2.921V

4. (a) 对于8位转换器,有:[1/(28-1)]ⅹ100%= 0.392%

(b) 对于12位转换器,有:[1/(212-1)]ⅹ100%= 0.0244%

第八章习题

2.

3. a(A,B,C,D)=∑m(0,2,3,5,7,8,9,13)

b(A,B,C,D)=∑m(0,1,2,3,4,7,8,9,12)

c(A,B,C,D)=∑m(0,1,3,4,5,6,7,8,9,11)

d(A,B,C,D)=∑m(0,2,3,5,6,7,8,10,11,13,14)

e(A,B,C,D)=∑m(0,2,6,8,10,14)

f(A,B,C,D)=∑m(0,4,5,6,8,9,12,13,14)

g(A,B,C,D)=∑m(2,3,4,5,6,8,9,10,11,12,13,14)

4. 总芯片数为:16片

5. Y1(A,B,C)=∑m(2,3,4,5)

Y2(A,B,C)=∑m(3,5,6,7)

Y3(A,B,C)=∑m(0,3,4,6,7)

Y4(A,B,C)=∑m(0,2,5,6)

6. 设:x的取值范围为0~15的正整数,则对应的是4位二进制正整数,用B=B3B2B1B0表示。根据y=x2可算出y的最大值是152=225,可以用8位二进制数Y=Y7Y6Y5Y4Y3Y2Y1Y0表示。

7.

8.用ROM存储字符Z。

9.

10.

11.此电路要求16个输入端和2个输出端,所以用GAL16V8就可以完成设计。其逻辑表达式为

Y 1=A1·A2·A3·A4·A5·A6·A7·A8

876543212B B B B B B B B Y +++++++=

这是一个纯组合电路, 安排引脚时可以按照101和100方式。 GAL16V8的1, 11和2~9脚为10个直接输入端,8个OLMC (12~19脚)中,15、16脚只能作输出,其余的6个设计为输入信号。

12.

ABC C AB BC A C B A Y AD

AC D C A D AB Y AC

C B B A Y ABCD

D ABC AB B A Y +++=+++=++=+++=4321

数字电路逻辑设计课后答案

《数字电路与逻辑设计》习题答案 一、填空 1.(51.625)10 = (.101 )2 = (33.A )16 2.(.1011)2 =(35.B )16 3.(1997)10= (0100 1100 1100 1010)余3BCD= (0001 1001 1001 0111)8421BCD 4.(0110 1001 1000)8421BCD= (689)10 (0110 1001 1000)余3BCD = (365)10 5.(BF.5)16= (1011 1111. 0101)2 6.16;6 7.4位 8.除2取余法,乘2取余法 9.1×2 3 +0×2 2 +1×2 1 +1×2 0 +0×2-1 +1×2-2 10.2 i ,N i 11.奇校验码 12.1,1 13.与、或、非 14.逻辑式、真值表、逻辑图 15.输出值“1”的对应最小项相加 16.三进制及三进制以上进制的算术加,二进制算术加,逻辑加,模2加 17.2 n 18.相邻码组之间只有一位不同 19.n个相领项 20.开通,延迟,上升,t ON =t d +t r 21.关闭,存储,下降,t OFF =t s +t f 22.从负载流(灌)入反相器(或与非门),低 23.从反相器(或与非门)流(拉)到负载,高 24.与非门允许多大的噪声电压叠加到输入信号的高、低电平上,而不致破坏其正常逻辑状态,抗干扰能力越强 25.最多可以带动10个同类型门电路。 26.t PHL ,t PLH ,(t PHL +t PLH)/2 27.短接,短接F 1 ?F 2 ,线与 28.“0”,“1”,“高阻” 29.PMOS,NMOS,CMOS反相器,PMOS,NMOS,CMOS传输门 30.V D +0.7V,-0.7V,极小,激增 31.数字,模拟 32.电路功耗低、抗干扰能力强、集成度高等

数字电路第四章答案

数字电路第四章答案 【篇一:数字电路答案第四章时序逻辑电路2】 p=1,输入信号d被封锁,锁存器的输出状态保持不变;当锁存命令cp=0,锁存器输出q?d,q=d;当锁存命令cp出现上升沿,输入信号d被封锁。 根据上述分析,画出锁存器输出q及 q的波形如习题4.3图(c)所示。 习题4.4 习题图4.4是作用于某主从jk触发器cp、j、k、 rd及 sd 端的信号波形图,试绘出q端的波形图。 解:主从jk触发器的 rd、且为低有效。只有当rd?sd?1 sd端为异步清零和复位端, 时,在cp下降沿的作用下,j、k决定输出q状态的变化。q端的波形如习题4.4图所示。 习题4.5 习题4.5图(a)是由一个主从jk触发器及三个非门构成的“冲息电路”,习题4.5图(b)是时钟cp的波形,假定触发器及各个门的平均延迟时间都是10ns,试绘出输出f的波形。 cp f cp 100ns 10ns q (a) f 30ns 10ns (b)(c) 习题4.5图 解:由习题4.5图(a)所示的电路连接可知:sd?j?k?1,rd?f。当rd?1时,在cp下降沿的作用下,且经过10 ns,状态q发生翻转,再经过30ns,f发生状态的改变,f?q。rd?0时,经过10ns,状态q=0。根据上述对电路功能的分析,得到q和f的波形如 习题4.5图(c)所示。 习题4.6 习题4.6图(a)是一个1检出电路,图(b)是cp及j端的输入波形图,试绘出 rd端及q端的波形图(注:触发器是主从触发器,分析时序逻辑图时,要注意cp=1时主触发器的存储作用)。

cp j (a) q d (c) cp j (b) 习题图 解:分析习题4.6图(a)的电路连接:sd?1, k?0,rd?cp?q;分段分析习题 4.6图(b)所示cp及j端信号波形。 (1)cp=1时,设q端初态为0,则rd?1。j信号出现一次1信号,即一次变化的干扰,且k=0,此时q端状态不会改变; (2)cp下降沿到来,q端状态变为1,rd?cp,此时cp=0,异步 清零信号无效;(3)cp出现上升沿,产生异步清零信号,使q由 1变为0,在很短的时间里 rd又恢复到1; (4)同理,在第2个cp=1期间,由于j信号出现1信号,在cp 下降沿以及上升沿到来后,电路q端和 rd端的变化与(2)、(3) 过程的分析相同,其波形如习题4.6图(c)所示。 结论:该电路可以实现1信号的检出功能。 习题4.7 习题4.7图(a)是用主从jk触发器构成的信号检测电路,用来检测cp高电平期间ui是否有输入脉冲,若cp、ui电压如习题4.7图(b)所示,试画出输出电压uo的波形。 cp ui (b) (a) q (c) ui cp uo 习题4.7图 解:分析习题4.7图(a)的电路连接:k?1,分析习题4.7图(b) 给定的信号波形。 j?ui,rd?cp?uo,uo?q;分段

数字电路第五版(康华光)课后答案

第一章数字逻辑习题 1.1 数字电路与数字信号 1.1.2 图形代表的二进制数 010110100 1.1.4 一周期性数字波形如图题所示,试计算:(1)周期;(2)频率;(3)占空比例 MSB LSB 0 1 2 11 12 (ms) 解:因为图题所示为周期性数字波,所以两个相邻的上升沿之间持续的时间为周期, T=10ms 频率为周期的倒数,f=1/T=1/0.01s=100HZ 占空比为高电平脉冲宽度与周期的百分比,q=1ms/10ms*100%=10% 1.2 数制 1.2.2 将下列十进制数转换为二进制数,八进制数和十六进制数(要求转换误差不大于2?4(2)127 (4)2.718解:(2)(127)D= 27 -1=(10000000)B-1=(1111111) B=(177)O=(7F)H (4)(2.718)D=(10.1011)B=(2.54)O=(2.B)H 1.4 二进制代码 1.4.1 将下列十进制数转换为8421BCD 码: (1)43 (3)254.25 解:(43)D=(01000011)BCD 1.4.3 试用十六进制写书下列字符繁荣ASCⅡ码的表示:P28 (1)+ (2)@ (3)you (4)43 解:首先查出每个字符所对应的二进制表示的ASCⅡ码,然后将二进制码转换为十六进制数表示。 (1)“+”的ASCⅡ码为0101011,则(00101011)B=(2B)H (2)@的ASCⅡ码为1000000,(01000000)B=(40)H (3)you 的ASCⅡ码为本1111001,1101111,1110101,对应的十六进制数分别为79,6F,75 (4)43 的ASCⅡ码为0110100,0110011,对应的十六紧张数分别为34,33 1.6 逻辑函数及其表示方法 1.6.1 在图题1. 6.1 中,已知输入信号A,B`的波形,画出各门电路输出L 的波形。

《数字电路-分析与设计》1--10章习题及解答(部分)_北京理工大学出版社

第五章习题 5-1 图题5-1所示为由或非门组成的基本R-S 锁存器。试分析该电路,即写出它的 状态转换表、状态转换方程、状态图、驱动转换表和驱动方程,并画出它的逻辑符号,说明S 、R 是高有效还是低有效。 解:状态转换表: 状态转换驱动表 5-2 试写出主从式R-S 触发器的状态转换表、状态转换方程、状态图、驱动转换表 和驱动方程,注意约束条件。 解:与R-S 锁存器类似,但翻转时刻不同。 5-3 试画出图5.3.1所示D 型锁存器的时序图。 解:G=0时保持,G=1时Q=D 。 图题5-1 或非门组成的基本R-S 锁存器 S R 状态转换方程: Q n+1Q n+1=S+RQ n 状态转换图: S =Q n+1 R=Q n+1 状态转换驱动方程: 逻辑符号: 输入高有效 G D Q 图题5-3 D 型锁存器的时序图

5-11试用驱动表法完成下列触发器功能转换: JK→D, D→T, T→D, JK→T, JK→T’, D→T’。 解:略。 5-12用一个T触发器和一个2-1多路选择器构成一个JK触发器。 解:T=JQ+KQ 方法描述D 5-5 5-6试描述主从式 画出逻辑符号。 5-7试描述JK、D 5-8试分析图 5-9试分析图5.7.1 5-10试用状态方程法完成下列触发器功能转换: JK→D, D→T, T→D, JK→T, JK→T’, D→T’。 解:JK→D:Q n+1=JQ+KQ,D:Q n+1=D=DQ+DQ。 令两个状态方程相等:D=DQ+DQ =JQ+KQ。 对比Q、Q的系数有:J=D,K=D 逻辑图略。 也可用Q作为选择输入。 5-13试用一个D触发器、一个2-1多路选择器和一个反相器构成一个JK触发器。解:D=JQ+KQ,用Q或Q做选择输入即可。参见5-12。

数字逻辑电路 课后答案

数字逻辑电路 刘常澍 课后习题答案 1-14 将下列带符号数分别表示成原码、反码和补码形式。 (3) (-1111111)2 (4) , (-0000001)2 ; 解: (3) (-1111111)2 =(11111111)原= (10000000)反= (10000001)补 (4) (-0000001)2 =(10000001)原= (11111110)反= (11111111)补 1-15 将下列反码和补码形式的二进制数变成带符号的十进制数 (3) (10000000)补;(4) (11100101)补 解: (3) (10000000)补=(-127) 10 (4) (11100101)补=(-27) 10 1-18列出下述问题的真值表,并写出逻辑式。 (1)有A 、B 、C 三个输入信号,如果三个输入信号均为0或其中一个为1时,输出信号Y =1,其余情况下,输出Y =0。 解: 逻辑式:C B A C B A B A C B A Y +++= 1-22 求下列逻辑函数的反函数 (3)C A D C BC D A Y ?+=)( C A D C C D A Y ++++++=)())(B ( 1-23 求下列逻辑函数的对偶式 (2)D BC B A D B A BC Y ?++++=)( ])()([)(*D C A B A D C A C B Y ++?+?++= 1-21 用代数法将下列函数化简为最简与-或式。 (5) (8) AB BC A C AB AB BC A C AB Y ?++=?++=BC AD C B A BC AD C B A B A AB BC AD C B A C B A B A Y +??+=+??++=++?+=) ()() ()() )((

数字电路课后题参考答案

习题参考答案 注:参考答案,并不是唯一答案或不一定是最好答案。仅供大家参考。 第一章习题 2. C B A D B A C B A F ??+??+??= 3. 设:逻辑变量A 、B 、C 、D 分别表示占有40%、30%、20%、10%股份的四个股东,各变量取值为1表示该股东投赞成票;F 表示表决结果,F =1表示表决通过。 F =AB +AC +BCD 4. 设:A 、B 开关接至上方为1,接至下方为0;F 灯亮为1,灯灭为0。 F =A ⊙B 5. 设:10kW 、15kW 、25kW 三台用电设备分别为A 、B 、C ,设15kW 和25kW 两台发电机组分别为Y 和Z ,且均用“0”表示不工作,用“1”表示工作。 C AB Z B A B A Y ?=?= 6.输入为余3码,用A 、B 、C 、D 表示,输出为8421BCD 码,用Y 0、Y 1、Y 2、Y 3表示。 D C A B A Y C B D C B D B Y D C Y D Y ??+?=?+??+?=⊕==3210 7. 设:红、绿、黄灯分别用A 、B 、C 表示,灯亮时为1,灯灭时为0;输出用F 表示,灯正常工作时为0,灯出现故障时为1。 C A B A C B A F ?+?+??= 8. D C B D A H D C B A D C B A D C B A D C B A G D C B A D C A B A F D C B A E ??+?=???+???+???+???=???+??+?=???= 第二章习题 1. 设:红、绿、黄灯分别用A 、B 、C 表示,灯亮时其值为1,灯灭时其值为0;输出报警信号用Y 表示,灯正常工作时其值为0,灯出现故障时其值为1。 AC AB C B A Y ??=

数字电路与逻辑设计习题及参考答案全套

数字电路与逻辑设计习题及参考答案 一、选择题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 2. 一位十六进制数可以用 C 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 3. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 4. 逻辑函数的表示方法中具有唯一性的是 A 。 A .真值表 B.表达式 C.逻辑图 D.状态图 5. 在一个8位的存储单元中,能够存储的最大无符号整数是 D 。 A .(256)10 B .(127)10 C .(128)10 D .(255)10 6.逻辑函数F=B A A ⊕⊕)( = A 。 A.B B.A C.B A ⊕ D. B A ⊕ 7.求一个逻辑函数F 的对偶式,不可将F 中的 B 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” 8.A+BC= C 。 A .A+ B B.A+ C C.(A+B )(A+C ) D.B+C 9.在何种输入情况下,“与非”运算的结果是逻辑0。 D A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果是逻辑1。 A A .全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D. 任一 输入为1 11.十进制数25用8421BCD 码表示为 B 。 A .10 101 B .0010 0101 C .100101 D .10101 12.不与十进制数(53.5)10等值的数或代码为 C 。 A .(0101 0011.0101)8421BCD B .(35.8)16 C .(110101.11)2 D .(65.4)8

数字电路习题及答案

·数字电路与系统-习题答案1 第1 章数字逻辑基础 1.1 什么是数字电路?与模拟电路相比,数字电路具有哪些特点?答:处理数字信号并 能完成数字运算的电路系统称为数字电路。特点:采用二进制,结构 简单易于集成;可用于数值计算和逻辑运算;抗干扰,精度高;便于长期存储和远程传输,保密性好,通用性强。 1.3 把下列二进制数转换成十进制数。 (1)(11000101)2 = (197)10 (2)(0.01001)2 = (0.28125)10 (3)(1010.001)2 = (10.125)10 1.4 把下列十进制数转换成二进制数。 (1)(12.0625)10 = (1100.0001)2 (2)(127.25)10 = (1111111.01)2 (3)(101)10 = (1100101)2 1.5 把二进制数(110101111.110)2分别转换成十进制数、八进制数和十六进制数。 答:(110101111.110)2 =(431.75)10 =(657.6)8 =(1AF.C)16 1.6 把八进制数(623.77)8分别转换成十进制数、十六进制数和二进制数。 答:(623.77)8 =(403.98)10 =(193.FC)16 =(110010011.111111)2 1.7 把十六进制数(2AC5.D)16分别转换成十进制数、八进制数和二进制数。 答:(2AC5.D)16 =(10949.81)10 =(25305.64)8 =(10101011000101.1101)2 1.8 把十进制数(43 2.13)10转换成五进制数。 答:(432.13)10 =(3212.0316)5 1.9 用8421BCD 码表示下列十进制数。 (1)(42.78)10 =(0100 0010.0111 1000)8421BCD (2)(103.65)10 =(0001 0000 0011.0110 0101)8421BCD (3)(9.04)10 =(1001.0000 0100)8421BCD

数字电路(第二版)贾立新数字逻辑基础习题解答

1数字逻辑基础习题解答 1 自我检测题 1.(26.125)10=(11010.001)2 =(1A.2)16 2.(100.9375)10=(1100100.1111)2 3.(1011111.01101)2=( 137.32 )8=(95.40625)10 4.(133.126)8=(5B.2B )16 5.(1011)2×(101)2=(110111)2 6.(486)10=(010*********)8421BCD =(011110111001)余3BCD 7.(5.14)10=(0101.00010100)8421BCD 8.(10010011)8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +⋅⋅+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。 21.函数D B AB Y +=的最小项表达式为Y = ∑m (1,3,9,11,12,13,14,15)。 22.约束项是 不会出现 的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F (A ,B ,C )=∏M (1,3,4,6,7),则F (A ,B ,C )=∑m ( 0,2,5)。 24.VHDL 的基本描述语句包括 并行语句 和 顺序语句 。 25.VHDL 的并行语句在结构体中的执行是 并行 的,其执行方式与语句书写的顺序无关。 26.在VHDL 的各种并行语句之间,可以用 信号 来交换信息。 27.VHDL 的PROCESS (进程)语句是由 顺序语句 组成的,但其本身却是 并行语句 。 28.VHDL 顺序语句只能出现在 进程语句 内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL 的数据对象包括 常数 、 变量 和 信号 ,它们是用来存放各种类型数据的容器。

数字电路习题及参考答案2

数字电路习题及参考答案2 单项选择题 1.下列电路属于组合逻辑电路的是()。 A、全加器 B、寄存器 C、计数器 D、触发器 答案:A 2.若所设计的编码器是将 31 个一般信号转换成二进制代码,则输出应是一组 N=()位的二进制代码。 A、3 B、4 C、5 D、6 答案:C 3.对TTL与非门多余输入端的处理,不能将它们()。 A、与有用端连在一起 B、悬空 C、接高电平 D、接地 答案:D 4.如果要判断两个二进制数的大小或相等,可以使用()电路。 A、译码器 B、编码器 C、数据选择器 D、数据比较器 答案:D 5.主从JK触发器是在()。

A、CP下降沿触发 B、CP上升沿触发 C、CP=1的稳态下触发 D、与CP无关 答案:A 6.当优先编码器的几个输入端()出现有效信号时,其输出端给出优先权较 高的输入信号的代码。 A、同时 B、先后 C、与次序无关 答案:A 7.多位数值比较器比较两数大小顺序是()。 A、自高而低 B、自低而高 C、两种顺序都可以 D、无法判断 答案:A 8.在大多数情况下,对于译码器而言()。 A、其输入端数目少于输出端数目 B、其输入端数目多于输出端数目 C、其输入端数目与输出端数目几乎相同 答案:A 9.将BCD代码翻译成十个对应的输出信号的电路有()个输入端。 A、3 B、4 C、5 D、6 答案:B 10.下列选项不能消除竞争冒险的是()。

A、接入滤波电容 B、引入选通脉冲 C、改变输入信号 D、修改逻辑设计 答案:C 11.同步触发器的同步信号为零时,现态为1,次态为()。 A、无法确定 B、0 C、1 D、以上说法都不对 答案:C 12.对同一逻辑门电路,分别用正逻辑与负逻辑表示,则满足()关系。 A、对偶 B、互非 C、相等 D、无任何关系 答案:A 13.由与非门构成的基本R、S触发器输入端,则约束条件为()。 A、RS=1 B、R+S=0 C、RS=0 D、R+S=1 答案:C 14.下列电路中,不属于组合逻辑电路的是()。 A、译码器 B、计数器 C、编码器 D、数据分配器 答案:B

(完整版)数字电路试题及答案

1) “0”的补码只有一种形式。 (V ) 2) 卡诺图中,两个相邻的最小项至少有一个变量互反。 (V ) 3) 用或非门可以实现3种基本的逻辑运算。 (V ) 4) 三极管饱和越深,关断时间越短。 (X ) 5) 在数字电路中,逻辑功能相同的TTL 门和CMOS 门芯片可以互相替代使用 (X ) 6) 多个三态门电路的输出可以直接并接,实现逻辑与。 (X ) 7) 时钟触发器仅当有时钟脉冲作用时,输入信号才能对触发器的状态产生影响。 (V ) 8) 采用奇偶校验电路可以发现代码传送过程中的所有错误。 (X ) 9) 时序图、状态转换图和状态转换表都可以用来描述同一个时序逻辑电路的逻 辑功能,它们之间可以相互转换。 (V ) 10) 一个存在无效状态的同步时序电路是否具有自启动功能,取决于确定激励 函数时对无效状态的处理。 (V ) 二•选择题(从下列各题的备选答案中选出 1个或多个正确答案,将其填在括 号中。共10分 1. 不能将减法运算转换为加法运算。 (A ) A .原码 B .反码 C .补码 2. ________________________________ 小数“0”的反码可以写为 。 ______________________________________ (AD ) A . 0.0…0 B . 1.0…0 C . 0.1 …1 D . 1.1 …1 3. ______________________________________ 逻辑函数F=A B 和G=A O B 满足关系 ______________________________________ 。 (ABD ) A . F=G B . F '= G C . F '= G D . F = G 1 为 ________ 。 (B ) A . J = K = 0 B . J = K = 1 C . J = 1,K = 0 D . J = 0,K = 1 5.设计一个同步10进制计数器,需要 _________ 触发器。 (B ) A . 3 个 B . 4 个 C . 5 个 D . 10 个 求两者的关系。(10分) 解:两函数相等,刀(0,3, 4,7,11,12) 4.要使JK 触发器在时钟脉冲作用下,实现输出 Q n 1 Q n ,则输入端信号应 三 .两逻辑函数F 1 (AB AC D BCD)BC CD ABCD ,F 2 AB DC D ,

数字逻辑电路习题参考答案

数字逻辑电路习题参考答案 1.下列四个数中最大的数是( B ) A.(AF) B.(001010000010) 168421BCD C.(10100000) D.(198) 210 2.将代码(10000011)转换成二进制数为( B ) 8421BCD A.(01000011) B.(01010011) 22 C.(10000011) D.(000100110001) 223.N个变量的逻辑函数应该有最小项( C ) 2A.2n个 B.n个 nnC.2个 D. (2-1)个 4.下列关于异或运算的式子中,不正确的是( B ) A.AA=0 B.=0 A,A, C.AA0=A D.A1= ,, 5.下图所示逻辑图输出为“1”时,输入变量( C ) ABCD取值组合为 A.0000 B.0101 C.1110 D.1111 6.下列各门电路中,( B )的输出端可直接相连,实现线与。 A.一般TTL与非门 B.集电极开路TTL与非门 C.一般CMOS与非门 D.一般TTL或非门 7.下列各触发器中,图( B )触发器的输入、输出信号波形图如下图所示。

8.n位触发器构成的扭环形计数器,其无关状态数有( B )个。 A.2 nnn-n B.2-2n C.2n D.2-1 -第 1 页共 12 页 - 9.下列门电路属于双极型的是( A ) A.OC门 B.PMOS C.NMOS D.CMOS 10.对于钟控RS触发器,若要求其输出“0”状态不变,则输入的RS信号应为( A ) A.RS=X0 B.RS=0X C.RS=X1 D.RS=1X 11.下列时序电路的状态图中,具有自启动功能的是( B ) 12.多谐振荡器与单稳态触发器的区别之一是( C ) A.前者有2个稳态,后者只有1个稳态 B.前者没有稳态,后者有2个稳态 C.前者没有稳态,后者只有1个稳态 D.两者均只有1个稳态,但后者的稳态需要一定的外界信号维持 13.欲得到D 触发器的功能,以下诸图中唯有图( A )是正确的。

数字电路基础知识(附答案)

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 非正弦 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 离散 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 锯齿波 、三角波、 尖脉冲 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的 输出与输入 之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 短路 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 开路 。 10、 通常规定,RC 充放电,当t = (3-5)τ 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 时间常数 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 指数 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 闭合 。 14、 在脉冲与数字电路中,三极管主要工作在 截止区 和 饱和区 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 越好 。 16、 选择题 1 若逻辑表达式F A B =+,则下列表达式中与F 相同的是( A ) A 、F A B = B 、F AB = C 、F A B =+ 2 若一个逻辑函数由三个变量组成,则最小项共有( C )个。 A 、3 B 、4 C 、8 3 图9-1所示是三个变量的卡诺图,则最简的“与或式”表达式为(A ) A 、A B A C BC ++ B 、AB B C AC ++ C 、AB BC AC ++ 4 下列各式中哪个是三变量A 、B 、C 的最小项( C ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( B )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( C )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间

《数字电子技术(第三版)习题册》参考答案-

《数字电子技术(第三版)》习题册参考答案 课题一认识数字电路 任务1认识数制与数制转换 一、填空题 1. 时间数值 1 0 2.1 8 15 3.1 128 255 4.7 5.9 6.16 7. 容易高简单 8.B O D H 9.2×10³+0×10²+2×10¹+3×10° 二、选择题 1.C 2. B 3.C 4.B 5.C 6.A 7.D 三、判断题 1. √ 2. √ 3. × 4.× 5.√ 6.× 7.√ 8.√ 9. × 四、简答题 1. 答:数字电路中的信号为高电平或低电平两种状态,它正好与二进制的1和0相对应,因此,采用二进制更加方便和实用。 2. 答:十六进制具有数据读写方便,与二进制相互转换简单,较直观地表示位状态等优点。 五、计算题 1. (1)7 (2)15 (3)31 (4)213 2. (1)[1010]2 (2)[10000]2 (3)[10000000000]2 (4)[10000000110]2 3.(1)[27]s (3)[650]: 4. (1)[010111]2 (3)[010000000]2 5.(1)0FH (3)36H 6.(1)0001 Q110B (3)1011100011111100B 六、分析题(2)[35]: (4)[3153]s (2)[001101110]2 (4)[001110101101]2 (2)1FH (4)OAE63H (2)001010101110 B (4)0011111111010101B

Y3 Y2 Y1 Y0 ¤ ¤ ¤¤ ¤ ¤ ¤ ¤¤¤ ¤ ¤ ¤ ¤¤ ¤ ¤ ¤ ¤ 任务2学习二进制数算术运算 一、填空题 1.加减乘除 2.0+0=0 0+1=1 1+0=1 1+1=10 3.0-0=0 1-0=1 1-1=0 10-1=1 4.0 ×0=0 0 ×1=0 1 ×0=0 1 ×1=1 5 .1 0 6.最高正负原码 7.字节 8.半字节 9.字 二、选择题 1.C 2.B 3.C 4.B 5.B 6.B 7.C 三、判断题 1.√ 2.× 3.× 4.√ 四、简答题 1.答:将二进制数0011移位至0110,是向左移动一位,应做乘2运算。 2.答:将二进制数1010 0000移位至0001 0100,是向右移动三位,应做除以8运

相关主题
相关文档
最新文档