简易计算器设计实验报告

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

简易计算器设计实验报告

一.设计任务及要求

1.1实验任务:

根据计算器的原理设计一个具有加减乘除功能的简易计算器。如: 5+3*4/8=4。

1.2 实验基本要求:

(1)实现最大输入两位十进制数字的四则运算(加减乘除)。

(2)能够实现多次连算(无优先级,从左到右计算结果)。

如:12+34*56-78/90+9=36

(3)最大长度以数码管最大个数为限,溢出报警。

二.实验设计方案

(1)用QuartusII的原理图输入来完成系统的顶层设计。

(2)用VHDL编写以及直接拖模块来各功能模块。

(3)通过2个脉冲分别实现个位数和十位数的输入。

(4)通过选择每次的输出数值,将输出值反馈到运算输入端

(4)通过除法运算实现十六进制到十进制的转换输出。

其具体实现流程图如下:

三系统硬件设计

FPGA:

EP2C5T144C8目标板及相应外围硬件电路。(从略)

四系统软件设计

1.数据输入模块

原理:用VHDL创建模块,通过两个脉冲分别对两个数码管进行输入控制,再通过相应运算模块将两个独立数据转化成两位十进制数字。

2.运算模块

原理:用VHDL创建模块,四种运算同步运行,通过按键加、减、乘、除选择输出对应的计算结果,当按键等号来时,将所得结果反馈给运算模

块输入端。具体实现代码见附录二。

3.输出模块

原理:用VHDL创建模块,通过按键等号来控制显示运算对象还是运算结果,当等号按下时,输出计算结果,否则显示当前输入的数据,并且通过除法模块将十六进制转化为十进制。当输出结果溢出是LED0亮,同时数码管显示都为零。部分实现见附录二。

五实验调试

输入数据12,再按加法键,输入第二个数字25,按等号键,数码管显示37;按灭加法、等号键,输入第二个数据2,依次按等号键,减法键,数码管显示35;同上,按灭减法键、等号键,输入第三个数据7,依次按等号键,除法键,数码管显示5;按灭除法键、等号键,输入第四个数据99,依次按等号键,乘法键,数码管显示495,按灭乘法键、等号键,当前显示为99,依次按等号键、乘法键,数码管显示49005,同上进行若干次之后,结果溢出,LED0亮,同时数码管显示都为零。当输出为负数时,LED0灯变亮,同时数码管显示都为零。

六实验结论

本实验基本实现了计算器的加减乘法运算功能,但是存在一个突出的缺陷,就是当输出结果时,必须先按等号键导通数据反馈,再按运算键选择输出结果。这与实际应用的计算器存在很大的差距。但是,本设计可以通过等号键实现运算对象和运算结果之间的切换。

附录一

附录二

1.数据输入模块

计数器(count):LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

ENTITY count IS

PORT(cp1:in std_logic;

q1:out unsigned(3 downto 0)

);

end count;

architecture rtl of count is

signal iq1:unsigned(3 downto 0);

begin

process(cp1,iq1)

begin

if(cp1'event and cp1='1') then

iq1<=iq1+1;

if(iq1=9)then iq1<="0000";

end if;

end if;

q1<=iq1;

end process;

end rtl;

相关文档
最新文档