数字电路交通信号灯设计

数字电路交通信号灯设计
数字电路交通信号灯设计

目录

一、摘要 (2)

二、设计任务及要求 (2)

三、系统设计 (3)

四、单元电路设计

1、状态控制电路 (4)

2、时钟脉冲产生电路 (5)

3、信号灯显示电路 (5)

4、时间显示控制电路 (7)

5、总体电路 (11)

五、结论

六、参考文献

七、总结体会

八、附录

一、摘要

随着社会经济的快速发展,人们的生活水平快速提升,在城市中车水马龙的场景愈加明显,因此完备的交通是必不可少的,而良好的交通控制灯又是其核心。而国民的实践创新能力又是一个国家的发展所必须具备的。通过对数字电路、模拟电路的学习,我们可以做一些简单的实物来提高我们的动手能力,培养我们的创新能力。分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。

设计制作一个十字路口交通指示灯简易控制电路,红灯亮表示停止,绿灯亮表示通行,通行时间为60秒,停止时间为45秒,黄灯亮的时间都是5秒。通行和停止时间用数码管显示,采用倒计时方式。脉冲信号用555定时器构成的多谐振荡电路来产生的。

二、设计任务及要求

设计一个十字路口的交通信号灯控制器

基本要求:

1.能显示十字路口东西、南北两个方向的红、黄、绿的指示状态

用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯。

2.能实现正常的倒计时功能

用两组数码管作为东西和南北方向的倒计时显示,主干道每次放行(绿灯)60秒,支干道每次放行(绿灯)45秒,在每次由绿灯变成红灯的转换过程中,要亮黄灯5秒作为过渡。

3.能实现特殊状态的功能(选作)

(1)按S1键后,能实现特殊状态功能;

(2)显示倒计时的两组数码管闪烁;

(3)计数器停止计数并保持在原来的状态;

(4)东西、南北、路口均显示红灯状态;

(5)特殊状态解除后能继续计数;

4.能实现总体清零功能:按下该键后,系统实现总清零,计数器

由初始状态计数,对应状态的指示灯亮。

5.电源:220V/50HZ的工频交流电供电。

6.按照以上技术要求设计电路,绘制电路图,对设计的电路用

Multisim或OrCAD/PspiceAD9.2进行仿真,撰写设计报告。

二.系统的设计

总体的设计思路如下图:

交通灯控制系统框图

工作原理说明:

TL: 表示绿灯亮的时间间隔为主道秒60、支道45秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0

TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。

ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。

系统主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

三.单元电路设计:

1.交通灯的控制逻辑如下:

状态主道支路时间

00 绿灯亮,允许通行红灯亮,禁止通行60 S

01 黄灯亮,停车红灯亮,禁止通行 5 S

11 红灯亮,禁止通行绿灯亮,允许通行45 S

10 红灯亮,禁止通行黄灯亮,停车 5 S

交通灯的这4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及工作流程如下所示:

60秒未到,S0状态(主道绿灯亮,支道红灯亮);

60秒到转入S1状态(主道黄灯亮,支道红灯亮);

5秒后,进入S2状态(主道红灯亮,支道绿灯亮);

45秒后,进入S3状态(主到红灯亮,支道黄灯亮);

5秒后,回到S0状态,进入下一循环状态。

控制器应送出主道(R、Y、G)和支道(r、y、g)红黄绿灯的控制信号。

使用74LS161芯片做主控制电路,电路图如下

2.时钟脉冲电路

通过555定时器按一定的线路接上不同的电阻和电容就可产生期不同的方波脉冲,即不同的频率脉冲。课程设计需要秒脉冲,

经分析,因为当电容充电时,暂稳态持续时间 T1=0。7(R1+R2)C

当电容放电时,暂稳态持续时间 T2=0。7R2 C;

经计算,选择合适的电阻和电容,则脉冲产生电路图如下:

3.信号灯显示电路

红绿灯显示也是表示电路所处状态,其必然与主控电路的状态一一对应,受到主控电路控制,即主控电路的输出(Q1—A 和Q2—B )决定了主干道和支干道的红绿灯的亮灭情况。

如亮用1表示,灭用0表示,则有: B A 主红(R) 主黄(Y) 主绿(G) 支红(r) 支黄(y) 支绿(g) 0 0 0 0 1 1 0 0 0 1 0 1 0 1 0 0 1 0 1 0 0 0 0 1 1 1

1

1

所以,

R=B A B A Y=B A G=B A

R =R y=AB g=B A

这部分电路选用74LS139(双2线——4线译码器),G接地时实现所需功能,其中A接74LS139芯片的Q1,B

接Q2;又因为74LS161芯片的D2=A!B+AB!,所以红灯G可直接接

D2,r接D2!;以上两个表对比可得:

G=Y1!Y=Y2!g =Y3!y =Y0!

则红绿灯显示电路图如下:

4. 时间显示器控制电路

A)计数器

设计要求对不同的状态维持的时间不同,而且要以十进制倒计时显示出

限于实验室器材本人采用两个74LS161(同步计数器)完成计时器状态

产生模块设计。其功能状态表如下:

要以十进制输出,而又有一些状态维持时间超过10秒,则必须用两个74LS161分别产生个位和十位的数字信号。计数器能够完成计时功能,我们可以用74LS161设计,并把它的时钟cp接秒脉冲,CR接高位,以便实现计数和保持功能。74LS161计数器是采用加法计数,要想倒计时,则在74LS161输出的信号必须经过部分处理后,然后接入数码管的驱动74LS48,而在显示是最好以人们习惯的数字0---9显示计时,故在设计不同模值计数器确定有效状态时,我以0000,0001,0010-----1111这些状态中靠后的状态为有效状态。例如:有效状态1100—1101—1110—1111

取非 0011—0010—0001—0000

即 3 2 1 0

实现模4的倒计时。

要把74LS161改装成其他模值时既可以采用同步清零法,也可采用异步置数法,但0000不可能为有效状态,所以采用异步置数法完成不同模值转化的实现。

1)对控制个位输出的74LS161设计

按要求对系统的状态不同,即红绿灯的状态不同,个位的进制也就要求不同。我利用系统的状态量A,B控制74LS161的置数端D0 D1 D2 D3。当系统处在Gr 或Rg状态时,个位的进制是十(模10),即逢十进一,为了方便起见当系统

处在Yr或Ry状态时,个位的进制也是10,即逢十进一,模10时,有效状态为0110,0111,1000,-----1111,置D3 D2 D1 D0为0110,由此有:

A B D3 D2 D1 D0

0 0 0 1 1 0

0 1 1 0 1 1

1 0 0 1 1 0

1 1 1 0 1 1

由上表可得:

D1接高位,D0=D3=A!,所以接74LS161芯片的输出Q1,D2=D0!,可接Q1!;同时为了正常计数,ENT和ENP都接高电位;

当状态为1111时,74LS161的状态必须跳到进入下一个循环,此时进位输出为1,我们可以把它的RCO非接入置数端LOAD。

2)对控制十位输出的74LS161设计

同设计控制个位输出的74LS161基本类似,经分析其状态表如下:

A B D3 D2 D1 D0

0 0 1 1 0 1

0 1 1 1 1 1

1 0 1 1 1 0

1 1 1 1 1 1

则有 D3和D2均接高电位,经分析计算D1=A!+B!,D0=A!+B;

这样给加法器接入输入,从二进制数表示的十进制数6依次增加到15,经过

非门后则可对应实现十进制数的9到0的倒计时。

3)对两个161芯片级联的处理

当计数超过10秒时,个位需向十位进位,此时十位计数,其它时间其保持

不变,我通过控制十位的LOAD端实现这一功能,把个位的ENT和ENP的非

接入十位的LOAD;当个位需进位,即完成一次循环,RCO为1,则CTt2=CTp2=1,

十位开始计数,其他时刻CO1=0,则CTt2=0,CTp2=1,十位保持;当灯亮的状

态转入下一状态时,个位和十位都必须清零,重新开始计数,这一功能我们

通过个位的LOAD端来实现,LOAD=(RCO高RCO低)!

设计时把个位的LOAD的非(即两个RCO的与门)连入主控电路74LS74的CP,当完成一次计时,个位和十位同时完成循环,此时CO1=CO2=0,(其它时刻为1)cp出现一下降沿,触发器计时,即系统跳到下一个状态,红绿灯转换,计时

器开始下一次计时。

B)译码器和数字显示管

我们选用74LS48七段译码器来实现次项功能,由其功能状态表可知,LT 和RBI

及BI/RBO都接高时,才能实现正常的七段数字输出功能,其输入端A B C D 分别接74LS48的输出QO Q1 Q2 Q3的非门输出;输出FE ABCD 分别接入对应的数字显示管的各个管脚;

数字显示管的3管脚和8管脚都接地,5管脚悬空;

将74LS48的LT接高时,可用来检测显示管是否损坏,若此时显示数字8则为完好电路,否则有故障,应检查修复。

这样将电路连好,就可实现59-00-04-00-44-00-04-59的数字倒计时显示循环。这部分倒计时数字显示电路图如下:

5.总体电路图

四.结论

仿真结果分析得,当整个系统在第一状态时主干道绿灯亮,支干道红灯亮,此时给两个计数器置数,绿灯60S,红灯45S。当主干道计数结束时,给主干道的控制器一个脉冲,使它进入第二状态,即黄灯亮,并给计数器置数,当黄灯计数结束时,支干道的红灯也计数结束。则主干道进入第三状态,即红灯亮。而支干道即绿灯亮。支干道计数结束后,进入第四状态,即黄灯亮。当黄灯计数结束后,主、支干道同时又进入第一状态,即主干道绿灯亮,支干道红灯亮,便进入了下一循环。此结果与预期设计结

果完全吻合,即达到了要求。

五.参考文献

[1]康华光.《电子技术基础模拟部分》.第 5 版.北京:高等教育出版社,2006 .485-500

[2]康华光.《电子技术基础数字部分》.第 5 版.北京:高等教育出版社,2006.1-430

[3]刘明丹. 《基于数字电路对交通灯控制的研究与设计》. 实验技术与管理.2005,22(6):03-06

[4]任中民. 《交通灯数字控制系统的电路设计》.辽宁省交通高等专科学校学报.2005,7(2):45-46

[5]陈宗梅. 《交通灯控制系统电路设计》. 重庆职业技术学院学报.2005,14(2):124-125

六.总结体会

这次为期两个星期的电子课程设计,让我受益匪浅。不仅让我更好的熟悉和使用Multisim软件,更加深了我对数字电路原理的理解。另外,我更切身体验到做事与做学问的区别,团队团结一致共同克服困难的氛围和做实验要严谨的准则让我对以后的工作学习有了新的展望。这次课程设计我深刻意识到细心的重要性。也许是第一次做数电课程设计,难免会遇到各种各样的问题,而且我深刻的认识到在设

计的过程中自己的不足之处,对以前所学过的知识认识得不够深刻,掌握得不够牢固,理解的不够透彻。总体来说,这次实习使我受益匪浅。在摸索该如何设计程序使之实现所需功能的过程,培养了我的设计思维, 增强了实际操作能力。如果不能认真谨慎的工作,我们的设计不会达到预期的效果。还有不论遇到什么困难,不要对自己失去信心。在以后我要更加努力学习,培养自己独立思考的能力,弥补自身的不足,提高自己的综合素质。

虽然关于这些器件的知识很简单,但能真正的将它应用起来却很难。学习数电,我们不仅学习各个器件的用途,更要学习的是逻辑思维方式。根据设计的功能要求,循序渐进,最终找到答案。严谨的工作态度是完成本次课程设计所必要的。而借鉴各种参考文献也是解决个别专业问题的方法。

最后,我想说的是,通过本次课程设计让我对各个器件也有了更深刻的了解,而且对于课程设计所使用的软件,我们也应该在课程设计前多做了解,因为熟练地掌握各种课程设计中所要使用的软件,能够显著提高我们课程设计的工作效率,达到事半功倍的效果。

这次设计过程中我要感谢刘老师的耐心指导以及在设计中给出的宝贵建议和意见以及搭档在设计原理图中所做的帮助,我再次表示深深的感谢!

七.附录

1. 555定时器的引脚图及功能表介绍

555定时器的引脚图如下:

555定时器的状态表如下图:

2. 74LS161的引脚图如图及功能表如表

输入输出

清零预

使能时

预置数输入端Q3 Q2 Q1 Q0 进

CR(低)

PE

低)

CEP CE

T

CP D3 D2 D1 D0

L X X X X X X X X L L L L L H L X X D3 D2 D1 D0 D3 D2 D1 D0 #H H L X X X X X X 保持#H H X L X X X X X 保持L H H H H X X X X 计数#

66 数字电路综合设计

6.6 数字电路综合设计 6.6.1汽车尾灯控制电路 1. 要求:假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟),要求汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。2.电路设计: (1)列出尾灯和汽车运行状态表如表6.1所示 (2)总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯和各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。 由表6-2得总体框图如图6.6-1所示 图6.6-1汽车尾灯控制电路原理框图

(3)单元电路设计 三进制计数器电路可根据表6-2由双J—K触发器74LS76构成。 汽车尾灯控制电路如图6.6-2所示,其显示驱动电路由6个发光二极管构成;译码电路由3—8线译码器74LSl38和6个和门构成。74LSl38的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0,使能信号A=G=1,计数器的状态为00,01,10时,74LSl38对应的输出端 Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为“1” 0 无效),反相器G1—G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LSl38对应的输出端 Y、5Y、6Y依次为0有 4 效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 对于开关控制电路,设74LSl38和显示驱动电路的使能

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

基于某数字电路交通红绿灯设计

基于数字电路交通红绿灯设计

目录 一、设计目的 (3) 二、设计任务 (3) 三、设计要求 (4) 四、总体设计思路 (4) 五、电路设计模块与分析 (5) 1、交通灯控制系统的原理 (5) 2、状态控制电路 (6) 3、初值预置电路 (8) 4、数字显示电路 (9) 5、秒脉冲电路 (10) 六、总设计电路图 (11) 七、元件清单及其引脚功能 (12) 八、仿真故障 (13) 九、心得体会 (13) 十、参考文献 (14)

一、设计目的 1、通过设计,巩固和加深在数字电子技术课程中的理论基础和实验中的基本技能,训练电子产品制作时的设计能力。 2、通过该课程设计,设计出符合任务要求的电路。 3、掌握常用集成器的一般设计方法。 4、训练并提高学生在文献检索、资料利用、方案比较和元器件选择等方面的综合能力。 5、熟练使用Multisim软件。 二、设计任务 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用与交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。 自从交通灯诞生以来,其部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化、科学化、简便化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路

的设计提供了一定的技术基础。 三、设计要求 本设计通过采用数字电路对交通灯控制电路的设计,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 1、东西方向绿灯亮,南北方向红灯亮,时间25s。 2、南北方向绿灯亮,东西方向红灯亮,时间25s。 3、东西方向或南北方向黄灯亮,时间均为5s。 四、总体设计思路 1、根据本课题设计要求分析并画出简易电路结构框图。 2、按照电路结构框图绘制电路原理图。 3、选定各部分集成电路元器件的类型。 4、利用Multisim软件对所设计电路进行调试仿真。 根据设计任务和要求,而确定交通灯控制器电路的系统工作框图

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

数字电路--交通信号灯的自动控制设计报告

课程设计报告 课程: 数字电路 题目:交通信号灯的自动控制 院系: 淮北师范大学信息学院 专业: 2012级电子信息科学与技术 学号: 201218052034 姓名: *** 指导老师: ** 完成日期: 2014 年 06 月 06 日

一、课程设计题目: 交通信号灯的自动控制 背景:随着经济建设的发展,交通日益繁忙,事故时有发生为了保障行人和行车的安全,在十字路口上,都增设了交通灯控制器。而且大道通常有车,小道很少有车。 设计要求: 1、通常情况下,大道绿灯亮,小道红灯亮。 2、若小道来车,大道经6秒由绿灯变为黄灯;再经过4秒,大道由黄灯变为红灯,同时,小道由红灯变为绿灯。 3、小道变绿灯后,则经过10秒钟后自动由绿灯变为黄灯,在经过4 秒变为红灯,同时,大道由红灯变为绿灯。 设计说明和提示: 1、灯的变化出现四个状态,用“1”表示灯亮,“0”表示灯灭,其状态表如下:表一 大道小道 绿(G大)黄(Y大) 红(R大)绿(G小) 黄(Y小)红(R小) 1 0 0 0 0 1 0 1 0 0 0 1 0 0 1 1 0 0 0 0 1 0 1 0 2、原理图

分析: 控制器:信号灯有四个状态,所以可以用两位二进制数控制这四个状态。信号灯的四个状态可以用74ls161的两个输出端Q 0Q 1作为控制信号,Q 0Q 1通过与非门芯片控制交通灯,信号灯的状态作计时器的选通信号。 计时器:74ls161通过同步置数构成四秒、六秒、十秒计时器,置数端作为通过门电路做控制器的时钟信号。 秒信号:NE555可构成秒脉冲发生器,做计时器的控制信号。 检测信号:当小道来车时使计时器和控制器选通端选通。 二、设计原理: 1、主控电路的设计: 由表1可知道路信号灯的亮灭可由两位二进制数Q1Q0的状态表示, 则可以写出信号灯的状态表达式: G 大=01Q Q ;Y 大=1Q Q0; R 大=Q10Q +Q1Q0=Q1 G 小=Q10Q ;Y 小=Q1Q0;R 小=01Q Q +1Q Q0=1Q 表达式中Q1Q0的状态可有计数器74LS161的Q1和Q0的两个输 大道信号灯 小道信号灯 秒信号 控制器 驱动器 计数器

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

十字路口交通信号灯设计 数字电路

毕业设计说明书(论文) 课题名称:十字路口交通信号灯控制电路设计 航空电子设备维修专业 081331班 学生姓名:张林瑶学号 01 指导老师:姚卫华技术职称______________ 2011年 3 月 19 日

毕业设计(论文)任务书 学生姓名:张林瑶班级:081331 1.毕业设计(论文)题目:十字路口交通信号灯控制电路设计 2.毕业设计(论文)使用的原始资料数据及设计技术要求: 1、数字电子技术基础简明教程; 2、集成电子技术基础; 3、电路与数字逻辑设计实践 十字交叉路口分纵、横两组信号灯控制,每组有红、绿、黄三色信号灯显示。各干道亮灯顺序为:红—>绿—>黄—>红—>绿……,红灯、黄灯、绿灯的亮灯时间分别为35S、5S、30S。(黄灯5S为闪烁),分别用2位(共4位)LED显示纵、横两路的绿灯亮时间。 3.毕业设计(论文)工作内容及完成时间: 本设计通过对秒信号源产生电路,延时电路,状态控制电路,倒计时计数电路,译码、驱动、显示电路等单元电路的设计完成控制电路的设计。日期:自2010年12月15日至2011年3月19日 指导老师评语: ________________________________________________________________ ________________________________________________________________ ________________________________________________________________ ________________________________________________________________ ________________________ 指导老师:_______________ 系主任:____________

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电路全部实验

数字电子技术 实验报告 实验一门电路逻辑功能及测试 (1) 实验二数据选择器与应用 (4) 实验三触发器及其应用 (8) 实验四计数器及其应用 (11) 实验五数码管显示控制电路设计 (17) 实验六交通信号控制电路 (19) 实验七汽车尾灯电路设计 (25) 班级:08030801 学号:2008301787 2008301949 姓名:纪敏于潇

实验一 门电路逻辑功能及测试 一、实验目的: 1.加深了解TTL 逻辑门电路的参数意义。 2.掌握各种TTL 门电路的逻辑功能。 3.掌握验证逻辑门电路功能的方法。 4.掌握空闲输入端的处理方法。 二、实验设备: THD —4数字电路实验箱,数字双踪示波器,函数信号发射器, 74LS00二输入端四与非门,导线若干。 三、实验步骤及内容: 1.测试门电路逻辑功能。 选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平 用与非门实现与逻辑、或逻辑和异或逻辑。用74LS00实现与逻辑。 用74LS00实现或逻辑。用74LS00实现异或逻辑。 2.按实验要求画出逻辑图,记录实验结果。 3.实验数据与结果 将74LS00二输入端输入信号分别设为信号A 、B 用74LS00实现与逻辑 1A B A B =? 逻辑电路如下:

12 3 74LS00AN 4 5 6 74LS00AN A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下: 1、 用74LS00实现或逻辑 11A B A B A B +=?=???逻辑电路如下

多功能数字钟电路的设计与制作

多功能数字钟电路的设计与制作 一、设计任务与要求 设计和制作一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间,准点报时。 二、方案设计与论证 1.数字钟设计原理 数字电子钟一般由振荡器、译码器、显示器等几部分电路组成,这些电路都是数字电路中应用最广的基本电路。振荡器产生的1Hz的方波,作为秒信号。秒信号送入计数器进行计数,并把累计的结果以“时”、“分”、“秒”的数字显示出来。“秒”的计数、显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的计数、显示电路与“秒”的相同;“时”的计数、显示由两级计数器和译码器组成的二十四进制计数电路实现。所有计时结果由七段数码管显示器显示。用4个与非门构成调时电路,通过改变方波的频率,进行调时。最后用与非门和发光二极管构成整点显示部分。

2.总体结构框图如下: 图14 总体框图 三、单元电路设计与参数计算 1.脉冲产生电路 图15 晶振振荡器原理图 图16 555定时器脉冲产生电路原理图 振荡器可由晶振组成(如图15),也可以由555定时器组成。图16是由555定时器构成的1HZ 的自激振荡器,其原理是: 第一暂态2、6端电位为Vcc 3 1 ,则输出为高电平,三极管不导通,电容C 充电,此 时2、6端电位上升。当上升至大于Vcc 3 2 时,输出为低电平,三极管导通,电容C 放电, 11 21 C 1 R C 2 R O

此时2、6端电位下降,下降至Vcc 3 1 时,输出高电平,以此循环。根据公式C R R f )2(43.121+≈ 得,此时频率为0.991。 图17 555定时器波形关系 图18 555定时器产生1Hz 方波原理图 2.时间计数电路 图19 74LS161引脚图 74LS161功能表 v V 2 3 V 1 3 v U 1 74L S 161D Q A 14Q B 13Q C 12Q D 11R C O 15A 3B 4C 5D 6 E N P 7E N T 10 ~L O A D 9~C L R 1 C L K 2

数字电路交通信号灯设计说明

WuYi University 数电课程设计 题目:两路交通灯设计 院系:电子工程系 专业:电子信息工程 班级:电信(2)班 学号: 20094082041 学生:周磊 指导教师:有机

摘要 本文主要对此次数电开放实验进行总结性报告,罗列了电路的电路原理与流程图、硬件结构设计、焊接与调试。对于出现的问题进行解释说明其一系列后续工作的介绍。 Abstract In this paper, the number of power open to this experiment summary report, a list of the circuit schematic and flow diagram, hardware design, welding and debugging. For the problems to explain a series of follow-up work on its introduction.

1.绪论 (4) 2硬件电路结构设计 (4) 2.1设计要求及电路流程图 (4) 2.1.1设计要求 (4) 2.1.2电路流程图 (5) 2.2单元电路设计 (5) 2.2.2交通等状态显示设计 (8) 2.2.3秒信号设计 (11) 2.2.4置数控制系统设计 (12) 3.制板焊接与调试 (15) 3.1制板 (15) 3.1.1PCB图的制作 (15) 3.1.2电路板的制作 (16) 3.2焊接 (16) 3.2.1准备工作 (16) 3.2.2实际焊接 (17) 3.3电路调试 (17) 3.1.1准备工作 (17) 3.1.2具体调试 (17) 3.3.1故障分析 (18) 4.改进与创新 (18) 5.原器件清单 (18) 6.参考文献 (19) 总结 (20) 附录 (21)

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数字电路交通信号灯设计

目录 一、摘要 (2) 二、设计任务及要求 (2) 三、系统设计 (3) 四、单元电路设计 1、状态控制电路 (4) 2、时钟脉冲产生电路 (5) 3、信号灯显示电路 (5) 4、时间显示控制电路 (7) 5、总体电路 (11) 五、结论 六、参考文献 七、总结体会 八、附录

一、摘要 随着社会经济的快速发展,人们的生活水平快速提升,在城市中车水马龙的场景愈加明显,因此完备的交通是必不可少的,而良好的交通控制灯又是其核心。而国民的实践创新能力又是一个国家的发展所必须具备的。通过对数字电路、模拟电路的学习,我们可以做一些简单的实物来提高我们的动手能力,培养我们的创新能力。分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。 设计制作一个十字路口交通指示灯简易控制电路,红灯亮表示停止,绿灯亮表示通行,通行时间为60秒,停止时间为45秒,黄灯亮的时间都是5秒。通行和停止时间用数码管显示,采用倒计时方式。脉冲信号用555定时器构成的多谐振荡电路来产生的。 二、设计任务及要求 设计一个十字路口的交通信号灯控制器 基本要求: 1.能显示十字路口东西、南北两个方向的红、黄、绿的指示状态 用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯。 2.能实现正常的倒计时功能

用两组数码管作为东西和南北方向的倒计时显示,主干道每次放行(绿灯)60秒,支干道每次放行(绿灯)45秒,在每次由绿灯变成红灯的转换过程中,要亮黄灯5秒作为过渡。 3.能实现特殊状态的功能(选作) (1)按S1键后,能实现特殊状态功能; (2)显示倒计时的两组数码管闪烁; (3)计数器停止计数并保持在原来的状态; (4)东西、南北、路口均显示红灯状态; (5)特殊状态解除后能继续计数; 4.能实现总体清零功能:按下该键后,系统实现总清零,计数器 由初始状态计数,对应状态的指示灯亮。 5.电源:220V/50HZ的工频交流电供电。 6.按照以上技术要求设计电路,绘制电路图,对设计的电路用 Multisim或OrCAD/PspiceAD9.2进行仿真,撰写设计报告。 二.系统的设计 总体的设计思路如下图:

数字电路课程设计

数字电路课程设计 姓名:李志波 专业:电子信息工程 年级:2012级

数字闹钟计时器 一.实验目的 1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。 2.强化巩固专业课课程内容,学会对电路的系统分析。 3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。 二.实验原理 1.显示译码器 74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。它的引脚图及功能如下: (a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯 输入”RBI必须开路或者为高电平。 (b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。 (c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。 2.数码显示器 LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。其内部是八段发光二极管的负极连在一起的电路。当在a.b.c.d.e.f.g.DP加上正向电压时,各段

二极管就会被点亮,例如,利用74LS48和数码管组合成的显 示译码电路。 ABCD 四个引脚接上一级输出 LT,RBO/BI ,RBI 接高电平,或悬空。 3,十进制集成计数电路74LS90 74LS90时异步二-五-十进制计数器。其管脚图如图 U1 74LS90D Q A 12Q B 9Q D 11 Q C 8I N B 1 R 916 R 927R 012I N A 14R 02 3 G N D 10 V C C 5它的内部由两个计数电路组成,一个为二 进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。 它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。计数时ROA,ROB 其中之一接高电平或者二者都接高电平,并要求复9输入端R9A,R9B 其一接低电平或者同时接低电平。用74LS90接成的24 进 制 计 数 器 电 路 如 图

交通信号灯数字电路设计

交通信号灯可动控制器 一、设计目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用EWB路仿真。 4、通过查阅手册和文献资料,培养学生独立分析问题和解决问题的能力。 巩固所学知识,加强综合能力,提高实验技能,启发创新能力的效果 1、5、培养学生创新能力和创新思维。让学生通过动手动脑解决实际问题, 巩固课程中所学的理论知识和实验技能。 南北方向绿灯(NSG)亮70s,东西方向红灯亮EWR)亮; 二、设计任务 设计一个交通灯控制电路,实现对城市十字路口的交通灯控制。技术要求为: 1、东西方向绿灯(EWG)亮70s,南北方向红灯亮(NSR)亮; 2、东西方向黄灯(EWY)亮5s,南北方向红灯亮(NSR)亮; 3、南北方向黄灯(NSY)亮5s,东西方向红灯亮(EWR)亮。 设计任务分析 本实验的知识点为:任意进制数加减计数器设计,触发器,555定时电路的 工作原理以及基本控制逻辑电路的设计方法,参数计算和检测调试。 (1)秒振荡电路应能输出频率分别为为1H幅度为5V的时钟脉冲,要求误 差不超过0.1S。为提高精度,可用555设计一个输出频率为1Hz的多谐振荡器(2)计数器电路应具有75秒倒计时功能,可以通过2片74LS190级联来实现。 (3)各个方向的倒计时显示可共用一套译码显示电路,只要用2个4输入 的BCD_HEX数码管接74LS190的输出即可实现。 (4)主控制电路和信号灯译码驱动用各种门电路和JK触发器组成,应能实 现计时电路的转换、各方向信号灯的控制。 三、设计框图 首先分析实际交通灯控制电路,从主干道(南北方向)和支干道(东西方 向)入手,路口均有红、黄、绿三个交通灯显示数码管。其示意图如下: 图1-1 十字路口交通信号灯控制示意图 1.系统工作流程图 设主干道通行时间为N 1,支干道通行时间为N 2 ,主、支干道黄灯亮的时间均 为N 3,通常设置为N 1 =N 2 >N 3 。系统工作流程图如图所示:

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

数字电路设计数字电路应用设计

数字电路设计数字电路应用设计 数字电路应用设计。本书从实用设计方法出发。 通信及相关专业师生的参考用书。也可供电路设计及研发人员参 考阅读。 书名,数字电路应用设计。作者,关静。ISBN,9787030257796。定价,32.00 元。出版社,科学出版社。出版时间,xx-11-1。装帧,平装。开本,16开。 基本信息。数字电路应用设计作者:关静编著出版社:科学 出版社出版时间: xx-11-1开本: 16开I S B N: 9787030257796定价:¥32.00。 内容简介。本书从实用设计方法出发。结合实际应用。 也可供电路设计及研发人员参考阅读。 目录。第1章数字电路实用设计基础1.1 数字集成电路的分类。 特点及注意事项1.2 数字逻辑电路的测试方法1.3 基本逻辑门 电路的测试方法1.4 典型集成逻辑门电路部件逻辑门等等。逻辑门 可以组合使用实现更为复杂的逻辑运算。1.5 组合逻辑电路的分析与设计逻辑运算又称布尔运算布尔用数学方法研究逻辑问题。成功地 建立了逻辑演算。他用等式表示判断。把推理看作等式的变换。这种变换的有效性不依赖人们对符号的解释。 只依赖于符号的组合规律。这一逻辑理论人们常称它为布尔代数。20世纪30年代。逻辑代数在电路系统上获得应用。随后。由于电子技术与计算机的发展。出现各种复杂的大系统。它们的变换规律也遵

守布尔所揭示的规律。逻辑运算通常用来测试真假值。最常见到的逻辑运算就是循环的处理。用来判断是否该离开循环或继续执行循环内的指令。1.6 电路的安装与调试1.7 TTL集电极开路门与三态输出门的应用集电极开路门。即OC门。 是一种能够实现线逻辑的电路。OC与非门电路的特点是将原TTL 与非门电路中的VT3管集电极开路。并取消集成电极电阻。所以。使用OC门时。为保证电路正常工作。必须外接一只RL电阻与电源VCC 相连。称为上拉电阻。如图2所示。1.8 数字IC的接口电路1.9 数字电路的抗干扰问题第2章电子计数器。秒表的制作2.1 电子计数器的制作2.1.1 集成计数器74LS1602.1.2 数码管显示单元2.1.3 计数器电路图与实际制作2.1.4 调整和使用方法2.2 秒表的制作2.2.1 钟表的工作2.2.2 秒表的制作及调整2.2.3 使用BCD计数器和十进制计数器的方法第3章电子储钱罐的设计与制作3.1 设计思路3.2 光电传感器与锁存器部分电路3.2.1 光电传感器光电传感器是采用光电元件作为检测元件的传感器。 它首先把被测量的变化转换成光信号的变化。然后借助光电元件进一步将光信号转换成电信号。光电传感器一般由光源。光学通路和光电元件三部分组成。光电检测方法具有精度高。反应快。非接触等优点。而且可测参数多。传感器的结构简单。形式灵活多样。因此,光电式传感器在检测和控制中应用非常广泛。光电传感器是各种光电检测系统中实现光电转换的关键元件。它是把光信号转变成为电信号的器件。光电式传感器是以光电器件作为转换元件的传感器。

基于Multisim的交通信号灯

基于M u l t i s i m的交通信 号灯 This model paper was revised by the Standardization Office on December 10, 2020

电子技术课程设计报告 题目:基于Multisim的交通信号灯学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 交通信号灯设计 机械与电气工程学院自动化专业 1设计的任务与要求 课程设计的任务 1、进一步熟悉数字电路中计数器,译码器,555定时器等中规模逻辑器件的综合使用。 2、探究,学习可编程交通信号灯的工作原理。 3、了解使用数字电子电路知识来解决电子线路的实际问题的能力。以便更好掌握所学的知识,培养一定的动手能力。 课程设计的要求 1、要求使用555定时器、计数器。

2、要求东西亮灯一致,南北亮灯一致。 3、东西亮绿灯和黄灯时,南北亮红灯;南北亮绿灯和黄灯时,东西亮红灯,黄灯亮时每秒种闪亮一次,红灯亮35s,绿灯亮32s,黄灯3s。 4、东西、南北方向除了有红(R)、黄(Y)、绿(G)灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法); 2交通信号灯设计方案制定 交通信号灯设计的原理 电路大体上可分为三个部分,即:主控制电路部分、计数器部分、红绿灯控制部分。红绿灯控制部分的门电路较多,需要占用较大的空间;主控制电路部分分布在系统的各个部分,可以说是系统的灵魂,它对整个系统进行着控制。计数器部分比较简单,主要是进行计数并且产生进位信号。 交通信号灯设计的技术方案 交通灯原理控制如上图所示,它主要由秒脉冲发生器、定时器、译码器、控制器等部分组成。利用555定时器产生秒脉冲送至74LS192减法计数器,控制74LS192做减法计算。状态控制电路74LS162进行交通信号灯之间的转换。74LS138输入端接74LS162输出端,再对74LS192进行预置数。 3交通信号灯设计方案实施 交通信号灯单元模块功能及电路设计 1s脉冲发生器

相关文档
最新文档