实验六 LED点阵显示驱动电路设计

实验六 LED点阵显示驱动电路设计
实验六 LED点阵显示驱动电路设计

实验六LED16×16 汉字图形点阵显示电路

一、实验目的

1、掌握计数器设计。

2、掌握点阵LED显示模块的工作原理。

3、掌握VHDL基本逻辑电路的综合设计应用。

二、实验原理

LED 点阵的行为扫描选通信号、列为数据输入。显示采用逐行扫描方式,数据端不断输入数据,行扫描按一定顺序逐行选通,扫描一个周期(16次)产生一帧画面。图1以4×4 共阴LED 阵列为例,给出了LED 阵列的组合方式,行选通低有效,数据高有效;数据端输入数据,选通行根据相应数据亮灯,接着送入第二行数据,选通第二行,依次完成一屏的扫描。

图1 LED阵列结构

所要显示的点阵数据可存储在可编程芯片中的存储器中,电路结构框图如下所示:

点阵显示

驱动电路

CLK_ROW为点阵扫描时钟;DIR[1..0]为点阵显示方式控制信号,00时左滚动显示,01时右滚动显示,10时闪烁显示。DZ[15..0]为16位点阵数据输出,ADD_SCAN[3..0]为点阵扫描地址输出。

ROM用于存储点阵数据。

三、实验设备

计算机软件:Quartus II

EDA实验箱。主芯片:EPM7128SLC84-15或EP1K100QC208-3。下载电缆,导线等。

四、实验内容及步骤

1、制作ROM初始化文件,即mif文件。方法如下:

首先使用字模软件提取要显示的点阵信息。

然后在quartusII中新建一个256×16的mif文件,并输入上面提取的点阵数据,如下图

2、使用宏功能向导定制一个LPM_ROM,元件名为ROM_DOT,用于存储点阵数据. ROM 位宽16位,深度8位。

3、输入以下参考代码

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY test_wait IS

PORT (CLK_ROW: IN STD_LOGIC;

DIR : IN STD_LOGIC_VECTOR(1 DOWNTO 0 );

DZ: OUT STD_LOGIC_VECTOR(15 DOWNTO 0);

ADD_SCAN: OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END ENTITY test_wait;

ARCHITECTURE ART OF test_wait IS

COMPONENT ROM_DOT IS

PORT

(

ADDRESS : IN STD_LOGIC_VECTOR (7 DOWNTO 0);

Q : OUT STD_LOGIC_VECTOR (15 DOWNTO 0)

);

END COMPONENT ROM_DOT;

SIGNAL ADD_ROM: STD_LOGIC_VECTOR(7 DOWNTO 0);

ATTRIBUTE KEEP : BOOLEAN;

ATTRIBUTE KEEP OF ADD_ROM:SIGNAL IS TRUE;

SIGNAL CNT0 : STD_LOGIC_VECTOR(15 DOWNTO 0);

BEGIN

PROCESS(CLK_ROW)

BEGIN

IF CLK_ROW'EVENT AND CLK_ROW='1' THEN

CNT0<=CNT0+1;

END IF;

END PROCESS;

ADD_SCAN<=CNT0(3 DOWNTO 0);

PROCESS(CNT0,DIR) IS

BEGIN

CASE DIR IS

WHEN "00" => --左滚动

ADD_ROM <= ("0000" & CNT0(3 DOWNTO 0)) + CNT0(15 DOWNTO 8); WHEN "01" => --右滚动

ADD_ROM(3 DOWNTO 0) <=CNT0(3 DOWNTO 0)-CNT0(11 DOWNTO 8);

IF CNT0(3 DOWNTO 0) < CNT0(11 DOWNTO 8) THEN

ADD_ROM(7 DOWNTO 4) <= CNT0(15 DOWNTO 12) + 1;

ELSE

ADD_ROM(7 DOWNTO 4) <= CNT0(15 DOWNTO 12) ;

END IF;

WHEN OTHERS => --闪烁显示

ADD_ROM(3 DOWNTO 0) <= CNT0(3 DOWNTO 0);

ADD_ROM(7 DOWNTO 4) <= CNT0(14 DOWNTO 11);

END CASE;

END PROCESS;

U1: ROM_DOT PORT MAP(ADDRESS=>ADD_ROM,Q=>DZ);

END ARCHITECTURE ART;

4、选择恰当的CPLD/FPGA芯片,并按如下要求进行引脚锁定。

#ROM A1-A4,和点阵的4位行扫描地址相连

set_location_assignment PIN_143 -to ADD_SCAN[3]

set_location_assignment PIN_144 -to ADD_SCAN[2]

set_location_assignment PIN_147 -to ADD_SCAN[1]

set_location_assignment PIN_148 -to ADD_SCAN[0]

#CLK1,扫描时钟,每个时钟周期扫描一行

set_location_assignment PIN_79 -to CLK_ROW

#点阵行扫描数据输入

set_location_assignment PIN_30 -to DZ[15]

set_location_assignment PIN_29 -to DZ[14]

set_location_assignment PIN_28 -to DZ[13]

set_location_assignment PIN_27 -to DZ[12]

set_location_assignment PIN_26 -to DZ[11]

set_location_assignment PIN_25 -to DZ[10]

set_location_assignment PIN_24 -to DZ[9]

set_location_assignment PIN_18 -to DZ[8]

set_location_assignment PIN_17 -to DZ[7]

set_location_assignment PIN_15 -to DZ[6]

set_location_assignment PIN_14 -to DZ[5]

set_location_assignment PIN_13 -to DZ[4]

set_location_assignment PIN_12 -to DZ[3]

set_location_assignment PIN_11 -to DZ[2]

set_location_assignment PIN_9 -to DZ[1]

set_location_assignment PIN_8 -to DZ[0]

#K11 K10 00左滚动显示,01右滚动显示,10闪烁显示

#PIN_115----J32 PIN_114----J33

set_location_assignment PIN_115 -to DIR[0]

set_location_assignment PIN_114 -to DIR[1]

5、将*.sof文件下载到实验箱芯片中,连线后,观察并记录实验现象。

五、实验连线

1、时钟频率选择区CLK2选择1KHZ,CLK2插孔与CLK1(79脚)插孔相连

2、用4芯排线将点阵LED显示区DZ插针座(1~4)和EPA1(A4~A1)相连。

3、将J33、J32分别和SP1、SP2相连。

4、按SP1和SP2按键,观察LED点阵显示方式变化。

六、实验报告要求

1、画出系统模块组成框图,并编写各个模块的VHDL源程序。

2、根据电路功能,画出测试输入信号波形及仿真波形。

3、根据选用的EDA实验开发装置,画出用于硬件验证的管脚锁定表格。

4、记录系统仿真、逻辑综合及硬件验证结果

5、记录实验过程中出现的问题及解决方法。

大功率LED的驱动电路设计(PT4115应用)

大功率LED 的驱动电路设计(PT4115应用) 摘要:LED (light emitting diode )即发光二极管,是一种用途非常广泛的固体发光光源,一种可以将电能转化为光能的电子器件。由于LED 具有节能、环保、使用寿命非常长,LED 元件的体积非常小,LED 的发出的光线能量集中度很高,LED 的发光指向性非常强,LED 使用低压直流电即可驱动,显色性高(不会对人的眼睛造成伤害)等优点,LED 被广泛应用在背光源、照明、电子设备、显示屏、汽车等五大领域。而且随着LED 研发技术的不断突破,高亮度、超高亮度、大功率的LED 相继问世,特别是白光LED 的发光效率已经超过了常用的白炽灯,正朝着常照明应用的方向发展,大有取代传统的白炽灯甚至节能灯的趋势。 本论文主要介绍采用恒流驱动方式实现驱动电路,并且提出一种基于恒流驱动芯片PT4115的高效率的大功率LED 恒流驱动解决方案。该种驱动电路简单、高效、成本低,适合当今太阳能产品的市场化发展。。 关键词:大功率LED ;驱动电路;恒流驱动芯片PT4115 一、LED 主要性能指标: 1)LED 的颜色:目前LED 的颜色主要有红色,绿色,蓝色,青色,黄色,白色,暖白,琥珀色等其它的颜色; 2)LED 的电流:一般小功率的LED 的正向极限电流多在20mA 。但大功率LED 的功率至少在1W 以上,目前比较常见的有1W 、3W 、5W 、8W 和10W 。1W LED 的额定电流为350mA,3W LED 的750mA 。 3)LED 的正向电压:LED 的正极接电源正极,负极接电源负极。一般1W 的大功率LED 的正向电压为3.5V~3.8V 。 4)LED 的反向电压:所允许加的最大反向电压。超过此值,发光二极管可能被击穿损坏 LED 发光强度:光源在给定方向的单位立体角中发射的光通量定义为光源在该方向的(发)光强(度),单位为坎德拉(cd )。 5)LED 光通量:光源在单位时间内发射出的光量称为光源的发光通量。单位为流明(lm)。如1W 大功率LED 的光通量一般为60~80LM 。 6)LED 光照度:1流明的光通量均匀分布在1平方米表面上所产生的光照度.,单位为勒克斯(lx)。 7)LED 显色性:光源对物体本身颜色呈现的程度称为显色性,也就是颜色逼真的程度。 8)LED 的使用寿命:LED 一般可以使用50,000小时以上。 9)LED 发光角度:二极管发光角度也就是其光线散射角度,主要靠二极管生产时加散射剂来控制。 二、大功率LED 的驱动方式: LED 驱动简单的来讲就是给LED 提供正常工作条件(包括电压,电流等条件)的一种电路,也是LED 能工作必不可少的条件,好的驱动电路还能随时保护LED ,避免LED 被损坏。 LED 驱动通常分为以下三种方式: (1) 镇流电阻驱动:就是简单的的在LED 变LED 的驱动电流.。 LED 的工作电流为: R U U I L -= 所以I 与镇流电阻R 成反比;当电源电压U 时,R 能限制I 的过量增长,使I 不超出LED

16×16点阵显示综合实验

《EDA技术综合设计》 课程设计报告 报告题目: 16×16点阵显示综合实验作者所在系部:电子工程系 作者所在专业: 作者所在班级: 作者姓名: 指导教师姓名: 完成时间:

内容摘要 编写16×16点阵字符发生器的程序,通过CLK信号控制它的行驱动信号和列选信号让其依次输出‘中’,‘国’,‘人’三个字,通过硬件实验观察其结果,对于其他的显示花样以及点亮方式,可以根据实际需要自行设计。 关键字:16×16点阵,CLK,显示花样

目录 一概述 (5) 二方案设计与论证 (5) 三程序清单 (5) 四器件编程与下载 (9) 五性能测试与分析 (10) 六实验设备 (10) 七心得体会 (10) 八参考文献 (11)

课程设计任务书

一、概述 在时钟信号的控制下,使16×16点阵管花样点亮,在EDA试验仪中,16×16点阵显示列的驱动已经做好,其列选信号为SELOUT[3..0],送到4线-16线译码电路,译码电路的输出通过8只75451(双2输入与门,OC门)驱动器驱动16×16点阵管的16条共阴极列线;所以在设计点阵控制接口时,其列选信号必须由SELOUT[3..0]输出去控制译码电路。对于信号的频率,采用与七段数码管的位选信号一样的处理方法,即扫描频率大于24Hz;通过CLK信号控制行驱动与列选信号使其动态依次显示”中国人“三个字。其中CLK为时钟输入端,DIN[3..0]为花样显示模式选择,doout[15..0]为行驱动信号输出;SELOUT[3..0]为列选信号输出,去驱动4-16译码电路产生16×16点阵管的列选信号。 二、方案设计与论证 该程序由三个进程信号组成,进程K1通过CLK信号控制扫描频率s以及计数信号q,进而由q的记述周期控制cp信号。进程k2由cp信号控制汉字的扫描周期s0,实现汉字的依次显示,进程k3由扫描信号s控制点阵的行驱动和列选信号,使其准确显示’中国人’三个字。用VHDL语言编写程序,经过上级调试与编译,并下载到硬件观察实验结果。 三、程序清单 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity A1 is

LED驱动电路的设计与制作

自动化学院 电子基础课程设计任务书 系班学生: 课题名称:LED驱动电路的设计与制作 课题要求:一、1、工作电源:交流220伏 2、LED功率为3W 二、完成原理图、PCB图设计 三、完成安装及调试。 四、写出设计报告。 课题内容: 第一周:查找相关资料;方案设计。 第一周:设计原理图、PCB图。 第二周:完成安装及调试。撰写报告 主要参考资料: [1].王庆主编. Protel99SE & DXP 电路设计教程. 电子工业出版, 2006.6 [2].康华光等. 电子技术基础(模拟部分第五版).高等教育出版社, 1999.6 [3].康华光等. 电子技术基础(数字部分第五版).高等教育出版社, 1999.6 时间:2009年1月5日

自动化学院 电子基础课程设计评分标准 平时表现评分:(20%) 优秀:(90-100) 遵守纪律,尊敬老师,爱护设备,工作量饱满,动手能力强,无缺勤,很好按课题进度进行。 良好:(80-89) 遵守纪律,爱护设备,工作量饱满,动手能力较强,考勤情况良好,较好按课题进度进行。 中等:(70-79) 遵守纪律,爱护设备一般,工作量一般,动手能力一般,偶尔缺勤,基本按课题进度进行。 及格:(60-69) 遵守纪律一般,人为因素损坏设备,工作量一般,动手能力差,偶尔缺勤,能按课题进度进行。 不及格:(59以下) 不遵守纪律,人为因素损坏设备,有技术安全事故,工作量不饱满,动手能力很差,经常迟到,早退,缺勤。 课题完成情况评分:(50%) 优秀:(90-100) 全部完成任务书要求,完成质量优良、结果正确,所完成的设计有一定的独立见解。 良好:(80-89) 全部完成任务书要求,完成情况良好,所完成的设计正确,解决了一些实际问题,结果正确。 中等:(70-79) 基本完成任务书要求,完成质量尚好,所完成的设计基本正确,但存在一些不足。 及格:(60-69) 基本完成任务书要求,完成质量尚好,所完成的设计基本正确,但有小错误。 不及格:(59以下) 未完成任务书要求,所作的设计有严重错误,基本概念不清。 电子基础课程设计报告质量评分(30%) 1、文献资料收集、整理、分析;对课题研究意义的阐述;文字精练、流畅、绘图整洁、符合标准规范、字体工整; 2、基本概念、基本理论及专业知识掌握扎实,运用灵活;设计思路、设计内容、计算方法及结果、计算机运用正确无误; 3、试验数据的获取(软件调试方法及过程)试验过程(调试过程)的正确性; 4、电子基础课程设计的结论,存在的问题,研究结果的创新性;

微机接口实验-16x16点阵显示

实验04·LED显示器 王梦硕 0930******* 实验目的: 在理解LED点阵工作原理的基础上,实践使用点阵显示字符。 实验原理: 1·点阵式显示器: 发光二级管排列成矩阵,由亮与暗来产生字符或图形。 每一样的阳极连在一起,每一列的阴极连在一起,如右图所 示。 点阵显示器每一列的阴极连在一起,对每一列而言相当 于一个共阴显示器。同时每一行的阳极连在一起,相当于七 段显示器的比划。可采用动态显示电路,以笔画锁存器控制 行信号,以位锁存器控制列信号。 2·74HC595 实验中使用两片8位输出锁存移位寄存器74HC595(三态输出、串入并出),将单片机I/O口发出的串行数据转换为并行数据LD_QA~LD_QP,作为16x16 LED点阵显示器的行线,使用另外两片8位74HC595作为16x16 LED点阵显示器的列线LD_1~LD_16。当行输出高电平、列输出低电平时,可以点亮点阵。74HC595的工作时序图和推荐的连接方法如下: 下图中: ?LD-QA~LD-QP:点阵行控制信号 ?LD-1~LD-16:点阵列控制信号 ?SER(14脚):串行数据输入端 ?-SCLR(10脚):低电平时将移位寄存器的 数据清零。通常将它接Vcc。 ?SCK(11脚):上升沿时将串行数据移入移 位寄存器。 ?RCK(12脚):上升沿时移位寄存器的数据 锁存入数据寄存器。 ?-G(13脚): 高电平时禁止输出(高阻态)。

实验内容: 在16×16LED点阵上分别用静态方式和滚屏方式显示自己的姓(行扫描)。 两个实验部分的电原理图是相同的,如下所示:

1·静态方式: 流程图: 程序代码: L_DAT_H BIT P1.0 L_DAT_L BIT P1.1 L_STR BIT P1.2 L_CLK BIT P1.3 L_OE BIT P1.4 ROWH EQU 40H ;字模信号(顺向取膜,高位在前)ROWL EQU 41H SELH EQU 42H ;行扫描信号

16乘16点阵显示实验报告剖析

实验报告 实验名称: [16×16点阵显示实验] 姓名: [] 学号: [201] 指导教师: [解*] 实验时间: [2013年4月25日] 信息与通信工程学院

16×16点阵显示实验 1实验要求 任务1:将所给程序改正使结果为正显示; 任务2:使显示四个字、八个字。 2实验原理 2.1 LED显示器结构和原理 1>8*8LED点阵的结构 图1 8*8LED点阵结构图 从图1中可以看出,8*8LED点阵共由64个发光二极管组成,每个发光二极管是放置在行线和列线的交叉点上,当对应的某一行置1高电平,且某一列置0低电平,则相应的发光二极管就亮;因此要用8*8LED点阵来显示一个字符或汉字,只需要根据字符或汉字图形中的线条或笔画,通过点亮多个发光二极管来勾勒出字符或汉字的线条或笔画就行了。当要比较完美的显示一般的汉字,单个8*8LED点阵模块很难做到,因为LED的点数(也称为像素点)不够多,因此要显示汉字的话,需要多个8*8LED点阵拼合成一个显示屏。假如用4个8*8LED点阵模块拼成16*16的点阵,即能满足一般汉字的显示。但要显示信息量大的图形,则需要n个多个8*8LED点阵,拼装成一个大屏幕才行。

LED点阵显示器最大的特点是亮度高、功耗较低、寿命长、容易控制等,因此它的应用很广,常用在广场、车站、商业广告等室外的显示。 2>8*8LED点阵的封装和引脚规律 64个发光二极管按照行共阳、列共阴4个一组的方式封装成一个模块,这样8*8LED 点阵模块就有8行、8列共16个引脚。其实物图如图2,电路模块符号图如图3。 图2 8*8LED点阵实物图图3 8*8LED点阵符号图但8*8LED点阵的16个引脚并不是很有规律,千万不要想象成1~8个引脚是行,9~16个引脚是列。而且不同产品的点阵外部引脚排列规律还可能不一样。以下是NLB1388SRA 和LDM1388SRA两个型号点阵引脚对应行、列的关系表: 行号H0 H1 H2 H3 H4 H5 H6 H7 引脚号9 14 8 12 1 7 2 5 列号L0 L1 L2 L3 L4 L5 L6 L7 引脚号13 3 4 10 6 11 15 16 假如你买到一块新的8*8LED点阵,又没有关于它的相关资料,那你只有自己用万用表或通过VCC电源串接一个510欧姆的电阻来检测了。 2.2 LPM_ROM的应用 该模块为逻辑宏模块存储器。其应用过程如下。 1选择模块

EDA 16x16点阵显示

课程设计报告 课程名称数字系统与逻辑设计 课题名称16*16点阵显示 专业通信工程 班级 学号 姓名 指导教师乔汇东胡瑛 2012年7月2日

湖南工程学院课程设计任务书 课程名称数字系统与逻辑设计课题16*16点阵显示 专业班级通信工程1001班 学生姓名 学号 指导老师乔汇东胡瑛 审批乔汇东 任务书下达日期2012 年6月23日 任务完成日期2012 年7月2日

《数字系统与逻辑设计》课程设计任务书 一、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、程序精炼,结构清晰。 3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。 4、上机演示。 5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 三、进度安排 第二十周星期一:课题讲解,查阅资料 星期二:总体设计,详细设计 星期三:编程,上机调试、修改程序 星期四:上机调试、完善程序 星期五:答辩 星期六-星期天:撰写课程设计报告 附: 课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

单片机实验--LCD显示实验

实验19L C D显示实验 一、实验目的: 学习液晶显示的编程方法,了解液晶显示模块的工作原理。 掌握液晶显示模块与单片机的接口方法。 二、所需设备 CPU挂箱、8031CPU模块 三、实验内容 编程实现在液晶显示屏上显示中文汉字“北京理工达盛科技 有限公司”。 四、实验原理说明 五、实验步骤 1、实验连线 8255的PA0~PA7接DB0~DB7,PC7接BUSY,PC0接REQ,CS8255 接CS0。 2、运行实验程序,观察液晶的显示状态。 六、程序框图 八、附:点阵式LCD 模块 点阵式LCD模块 由一大一小两块液晶 模块组成。两模块均 由并行的数据接口和 应答信号接口两部分 组成,电源由接口总 线提供。 (1)OCMJ2×8液晶 模块介绍及使 用说明 OCMJ中文模块系列液晶显示器内含 GB 2312 16*16点阵国标一级简体汉字和 ASCII8*8(半高)及8*16(全高)点阵英文字库,用户输入区位码或 ASCII 码即可实现文本显示。 OCMJ中文模块系列液晶显示器也可用作一般的点阵图形显示器

之用。提供有位点阵和字节点阵两种图形显示功能,用户可在指定的屏幕位置上以点为单位或以字节为单位进行图形显示。完全兼容一般的点阵模块。 OCMJ中文模块系列液晶显示器可以实现汉字、ASCII 码、点阵图形和变化曲线的同屏显示,并可通过字节点阵图形方式造字。 本系列模块具有上/下/左/右移动当前显示屏幕及清除屏幕的命令。一改传统的使用大量的设置命令进行初始化的方法,OCMJ 中文模块所有的设置初始化工作都是在上电时自动完成的,实现了“即插即用”。同时保留了一条专用的复位线供用户选择使用,可对工作中的模块进行软件或硬件强制复位。规划整齐的10个用户接口命令代码,非常容易记忆。标准用户硬件接口采用REQ/BUSY 握手协议,简单可靠。 硬件接口 接口协议为请求/应答(REQ/BUSY)握手方式。应答BUSY 高电平(BUSY =1)表示 OCMJ 忙于内部处理,不能接收用户命令;BUSY 低电平(BUSY =0)表示 OCMJ 空闲,等待接收用户命令。发送命令到 OCMJ可在BUSY =0 后的任意时刻开始,先把用户命令的当前字节放到数据线上,接着发高电平REQ 信号(REQ =1)通知OCMJ请求处理当前数据线上的命令或数据。OCMJ模块在收到外部的REQ高电平信号后立即读取数据线上的命令或数据,同时将应答线BUSY变为高电平,表明模块已收到数据并正在忙于对此数据的内部处理,此时,用户对模块的写操作已经完成,用户可以撤消数据线上的信号并可作模块显示以外的其他工作,也可不断地查询应答线BUSY是否为低(BUSY =0?),如果BUSY =0,表明模块对用户的写操作已经执行完毕。可以再送下一个数据。如向模块发出一个完整的显示汉字的命令,包括坐标及汉字代码在内共需5个字节,模块在接收到最后一个字节

小型LCD背光的LED驱动电路设计

小型LCD背光的LED驱动电路设计 过去几年来,小型彩色LCD 显示屏已经被集成到范围越来越宽广的 产品之中。彩色显示屏曾被视为手机的豪华配置,但如今,即便在入门级手机 中,彩屏已成为一项标配。幸好,手机产业的经济规模性(全球手机年出货量接 近10 亿部)降低了LCD 彩色显示屏的成本,并使它们集成在无论是便携医疗设备、通用娱乐遥控器、数字相框/彩色LCD 显示屏需要白色背光,以便用户在 任何光照环境下都能正常地观看。这个背光子系统包括1 个高亮度白光发光二 极管(LED)阵列、1 个扩散器(diffuser)以扩散光线和1 个背光驱动器将可用电能 稳压为恒定电流以驱动LED.一块1 到1.5 英寸的显示屏可能包含2 到4 个LED,而一块3.5 英寸显示屏则可能轻易地就包含6 到10 个LED.对于LED 而言,其光 输出与电流成正比,而且由于LED 具有非常陡峭的电流-电压(I-V)曲线,流过LED 的电流紧密匹配是非常重要,这样才能确保均衡背光,因为LED 通常分 布在LCD 显示屏的一边。此外,也需要软件控制让用户调节亮度,以及针对 周围光照环境作出补偿。根据流经LED 电流的不同,LED 的色点(color point) 可能会漂移。因此,将LED 电流设定为固定值并对LED 进行脉宽调制以降低 平均光输出就很普遍。要在手持产品设计中集成小型彩色LCD 显示屏并进而 实现成本、性能和电池寿命的恰当平衡,存在着一系列需要考虑的因素。 电池供电产品需要优化的LED 驱动电路架构,这些架构要处理并存的 多项挑战,如空间受限、需要高能效,以及电池电压变化-既可能比LED 的正 向电压高,也可能低。常用的拓扑结构有两种,分别是LED 采用并联配置的 电荷泵架构/恒流源架构和LED 采用串联配置的电感升压型架构。这两种方案 都有需要考虑的折衷因素,如升压架构能够确保所有LED 所流经的电流大小 相同但需要采用电感进行能量转换,而电荷泵架构使用小型电容进行能量转换,

16X16点阵LED显示

毕业设计说明书 课题名称: 16乘16点阵显示电路的电路原理图及pcb绘制 学生姓名 专业 班级 时间 指导教师

姓名 设计题目16乘16点阵显示电路的原理图及pcb 绘制 指导教师 设计目的利用单片机控制显示屏,显示相应字幕掌握PROTEL99SE软件的操作和应用 理解和运用芯片 设计摘要 本设计是一16×16点阵LED电子显示屏的设计。 整机以单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器 74LS168和两个列驱动器74LS164来驱动显示屏显示。采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。 单片机控制系统程序采用单片机以C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。 论文着重介绍点阵显示的制作过程,即元器件的制作,单个封装,原理图的绘制以及PCB版布线的过程 设计规划1.建立库原件里面的没有的原件,并做出封装 2.绘制点阵点阵显示的原理图 3.对原理图里面的原件进行封装 4.创建链接表 5.导入到PCB里面,并排列连接 6.制造PCB版 7.

姓名 设计题目16乘16点阵显示电路的原理图及pcb绘制指导教师 设计目的利用单片机控制显示屏,显示相应字幕掌握PROTEL99SE软件的操作和应用 理解和运用芯片 设计摘要 本设计是一16×16点阵LED电子显示屏的设计。 整机以单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器 74LS168和两个列驱动器 74LS164来驱动显示屏显示。采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。 单片机控制系统程序采用单片机以C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。 论文着重介绍点阵显示的制作过程,即元器件的制作,单个封装,原理图的绘制以及PCB版布线的过程 设计规划1.建立库原件里面的没有的原件,并做出封装 2.绘制点阵点阵显示的原理图 3对原理图里面的原件进行封装 4创建链接表 5导入到PCB里面,并排列连接 6制造PCB版

8 8LED点阵显示实验

8 8LED点阵显示实验 一.实验要求 利用实验系统提供的实验模块点阵显示,编程实现中英文字符的显示。 二.实验目的 1.了解LED点阵显示的基本原理和实现方法。 2.掌握点阵汉字库的编码和从标准字库中提取汉字编码的方法。 三.实验电路及连线 点阵显示模块WTD3088的(红色)列输入线接至内部LED的阴极端,行输入线接至内部LED 的阳极端(若阳极端输入为高电平,阴极端输入低电平,则该LED点亮)。发光点的分布如图22-0所示。 Fig 22-0 WTD3088 LED分布 如图22-1示,本实验模块使用74LS374来控制列输入线的电平值。将74LS374的某输出置0,则对应的LED阴极端被置低。如图22-2示,本实验模块使用74LS273来控制行输入线,并通过9013提供电流驱动。将74LS273的某输出置1,则对应的LED阳极端被置高。每次系统重新开启或总清后,74LS273输出为全0,LED显示被关闭。 通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。

Fig 22-1 LED模块及列扫描电路 Fig 22-2 行扫描电路 Fig 22-3地址译码电路 本实验模块使用4块WTD3088组成16×16点阵,以满足汉字显示的要求。为了方便的控制四个单元,使用了一片74LS139译码,产生四个地址片选信号:CLKR1= CSLED,CLKR2= CSLED+1,用于行控制的两片74LS273;CLKC1= CSLED+2,CLKC2= CSLED+3,用于列控制的两片74LS374。实验接线:按示例程序,模块的CSLED接51/96地址的8000H。 四.实验说明

8 16X16LED点阵显示程序

16×16按字显示程序: ;P0和P2口输出字型码,P1口输出列线扫描。 ORG 0000H SJMP LOOP ORG 0080H LOOP:MOV A,#00H ;开机初始化,清除画面MOV P0,A ;清除P0口 ANL P2,#00 ;清除P2口 MOV R2,#200 D100MS: MOV R3,#250 ;延时100毫秒 DJNZ R3,$ DJNZ R2,D100MS

MOV 20H,#00H ;字型码指针赋初值 L100: MOV R1,#10 ;每个字的停留时间 L16: MOV R6,#16 ;每个字16个16位码 MOV R4,#00H ;列线扫描指针清零,接4-16译码器,。 MOV R0,20H ;字型码指针存入R0 L3: MOV A,R4 ;列线扫描指针存入A MOV P1,A ;列线扫描输出 INC R4 ;扫描指针加1,指向下一列 MOV A,R0 ; 取码指针存入A MOV DPTR,#TABLE ;取数据表的上半部分的代码 MOVC A,@A+DPTR MOV P0,A ; 输出到P0 INC R0 ;取字型码指针加1,取下一个码。 MOV A,R0 MOV DPTR,#TABLE ;取数据表下半部份的代码 MOVC A,@A+DPTR MOV P2,A ;输出到P2口 INC R0 ;取字型码指针加1,取下一个码。 MOV R3,#02 ;扫描1毫秒 DELAY2:MOV R5,#248 DJNZ R5,$ DJNZ R3,DELAY2 MOV A,#00H ;清除屏幕 MOV P0,A ANL P2,#00H DJNZ R6,L3 ;一个字16个码是否完成? DJNZ R1,L16 ;每个字的停留时间是否到了? MOV 20H,R0 ;取码指针存入20H(静态显示) CJNE R0,#224,L100 ;7个字224个码是否完成? JMP LOOP ;反复循环 16×16滚动显示程序: ORG 0000H SJMP LOOP ORG 0080H LOOP:MOV A,#00H ;开机初始化,清除画面 MOV P0,A ;清除P0口

LED点阵驱动电路设计

电子技术基础课程设计说明书题目:8x8 LED点阵驱动电路设计 学生姓名:王涉华 学号: 201306050122 院(系):理学院 专业:电子科学与技术 指导教师:戴庆瑜 2015 年 12 月 28日

目录 1 选题背景 (1) 1.1 基本设计任务 (1) 1.2 发挥设计任务 (1) 1.3 设计原理 (1) 1.4 方案论证 (1) 2 电路设计 (2) 2.1 电路设计框图 (2) 2.2 工作原理 (3) 3 各主要电路及部件工作原理 (3) 3.1 555多谐振荡电路 (3) 3.2 74HC161引脚图及工作原理 (5) 3.3 74HC138引脚图及工作原理 (6) 3.4 74HC573引脚图及工作原理 (7) 3.5 AT28C16引脚图及相关参数 (7) 3.6 上电复位及开关手动复位电路设计 (8) 3.7 8x8共阴点阵 (9) 3.8 74HC04引脚图及功能 (10) 4 原理总图 (12) 5 元件清单 (13) 6 调试过程及测试数据(采用分模块调试) (13) 6.1 通电前检查 (13) 6.2 复位电路及手动开关复位电路的调试 (13) 6.3 NE55的调试 (14) 6.4 AT28C16的调试 (14) 6.5 结果观察调试 (15) 7 电路实物 (15) 7.1 整体实物电路展示 (15) 7.2 电路功能部分展示 (16) 8 小结 (19) 9 设计体会及改进意见 (19) 9.1 设计体会 (19) 9.2 设计不足 (19) 9.3 设计改进意见 (19) 参考文献 (20)

1 选题背景 LED 点阵显示是利用发光二极管点阵模块或像素单元组成的平面式显示方式。目前,由于成本及实用性的优势,以LED半导体发光器件为显示介质的大型显示屏在公共场合的广告宣传、通告发布等方面已得到广泛的应用,其驱动方式也随着技术的逐渐成熟而变得丰富多样,且各具特色。一个大型LED显示屏由上万个甚至更多的LED单元构成,而如何控制这些单一的单元按照我们的预期呈现显示内容,即LED的单元驱动电路的设计便显得尤为重要。如何设计一个既能满足显示要求又能尽量节省成本的LED驱动电路呢?在这里,我以8x8点阵为例进行研究。 1.1 基本设计任务 (1)能够显示0~9、a~z或A~Z,显示字符数量不少于8个; (2)能手动或自动循环显示字符。 1.2 发挥设计任务 可实现显示内容的左右移动。 1.3 设计原理 通过控制555单稳态触发器输入脉冲频率信号,再通过计数器作为存储器的输入,以存储器和译码器作为高低电平的输入,进而控制加在点阵 LED灯两端的电压,这样就可以实现LED的亮灭控制。 1.4 方案论证 方案一:以74HC161和74HC138构成顺序脉冲发生器,输出作为共阴8x8点阵的横向驱动,纵向驱动由三态门74HC244控制存储器AT28C16的输出来进行调节,三态门控制存储器的八位输出只有一位有效,其它处于高阻状态,依次循环。用两组8输出计数器74HC161作为AT28C16的地址输入,其中一组为另一组置位,每次可点亮一个灯,需要八分之一个字节,只需设置64个灯的总的点亮时间小于人眼的分辨时间(大概为0.02s),利用人眼

LED点阵显示屏实验报告

16?16点阵LED电子显示屏的设计 摘要:文章介绍了基于单片机AT89C51的16?16点阵LED电子显示屏的设计。分别阐述了显示屏显示的基本原理,硬件设计、控制方法及其程序的实现。经过调试和分析,设计的结果能够实现对汉字的静态和动态显示,动态显示的内容有多种方式,同时又可通过上位机更新显示的内容。 关键字:AT89C51;16?16点阵;LED;显示屏 一绪论 LED显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。LED显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。 1 LED点阵显示屏概述 LED点阵显示屏的构成型式有多种,其中典型的有两种。一种把所需展示的广告信息烧写固化到EPROM芯片内,能进行固定内容的多幅汉字显示,称为单显示型;另一种在机内设置了字库、程序库,具有程序编制能力,能进行内容可变的多幅汉字显示,称可编程序型。 目前,国内的LED点阵显示屏大部分是单显示型,其显示的内容相对较少,显示花样较单一。一般在产品出厂时,显示内容就已写入显示屏控制系统中的EPROM芯片内,当需要更换显示内容时就非常困难,这样使该类型的显示屏使用范围受到了限制。国内的另一种LED显示屏——可编程序型LED显示屏,虽然增加了显示屏系统的编程能力,显示内容和显示花样都有所增加,但也存在着更换显示内容不便的缺点。随着社会经济的迅速发展,如今的广告牌都存在着显示内容丰富、信息量大、信息更换速度快等特点。因此传统的LED显示屏控制系统已经越来越不能满足现代广告宣传业的需要。而利用PC机通信技术控制LED显示屏,则具有显示内容丰富,信息更换灵活等优点。 2 LED显示屏控制技术状况 显示屏的控制系统包括输入接口电路、信号控制、转换和数字化处理电路及输出接口电路等,涉及的具体技术很多,其关键技术包括串行传输与并行传输技术、动态扫描与静态锁存技术、自动检测及远程控制技术等。

5实验五:点阵LED显示

实验五点阵LED显示 1、实验目的:(1)了解点阵LED显示器的基本原理; (2)掌握单片机控制点阵LED显示程序的设计方法。 2、实验仪器:PC机一台,万利仿真器一套及其开发环境,清华TMC-1开放式单片机实验系统一套。 3、实验原理:点阵的LED显示器是将许多LED用类似矩阵的结构排列在一起组成的显示器件,当用单片机输出控制信号,使得点阵中的LED有些发光,有些不发光,即可显示出特定的信息,包括汉字、图形等,由微机控制点阵LED大屏幕广告宣传牌就是采用的这样的显示技术。 实验仪上设有一个共阳极8×8的点阵LED显示器,其点阵结构如图所示。 该点阵对外引出8条行线,8条列线,如果使某一个LED发光,只要将与其相连接的行线加高电平,列线加低电平;若是使某一列LED发光,只要将8根行线全加高电平,此列线加低电平;若是使某一列LED部分发光,只要将需要发光的行线加高电平,此列线加低电平。实验仪上的点阵LED及驱动连接电路如下一页的电路图所示(其中点阵LED与8051输入输出口之间的连接需要实验者自己连接),这里采用了P2和P1口。8051的P2口输出的数据通过行驱动器(74LS07)加在了点阵LED的8条行线上,8051的P1口输出的数据通过列驱动器(ULN2003A)反相后加在了点阵LED的8条列线上。如果要使该点阵显示某一信息,只要通过P1、P2口输出特定的数据,控制点阵LED逐行或逐列循环发光即可。 例如:如果显示汉字“年”,采用逐列循环发光。首先由“年”的点阵轮廓确定点阵代码。“年”字的点阵轮廓如右图所示,根据“年”字的点阵代码,确定逐列发光的时序如下: 1、P2口输出24H;P1口输出80H,第7列的2个LED发光; 2、P2口输出44H;P1口输出40H,第6列的2个LED发光; 3、P2口输出DCH;P1口输出20H,第5列的5个LED发光; 4、P2口输出54H;P1口输出10H,第4列的3个LED发光; 5、P2口输出7FH;P1口输出08H,第3列的7个LED发光; 6、P2口输出54H;P1口输出04H,第2列的3个LED发光; 7、P2口输出54H;P1口输出02H,第1列的3个LED发光; 8、P2口输出44H;P1口输出01H,第0列的2个LED发光; 在以上每一步骤之间插入 1ms左右的延时,重复进行以上1―8步骤,即可在LED上稳定的显示出“年”字。这里P2口先后输出的8字节数据:24H,44H,DCH,54H,7FH,54H,54H,44H,称为“年”的代码。

LED可调驱动电路电源设计

LED可调驱动电源课程设计 院系: 年级专业: 姓名: 指导教师: 学号: 日期: LED驱动电源课程设计

一、设计规格 1、设计一个恒流LED驱动电路,电流值为350mA 2、设计一个调光电路,PWM波的占空比由20%~80%可调 3、整个驱动电路有9V供电 4、LED电压4-8V 5、电路效率90% 二、设计过程 1、画原理图

2、原理描述 A、555芯片构成的PWM脉宽调制电路 PWM称之为脉冲宽度调制信号,利用脉冲的宽度来调整亮度,也可用来控制DC马达。 PWM脉冲宽度调制信号的基本频率至少约400HZ-10KHZ,当调整LED的明或暗时,这个基本的频率不可变动,而是改变这个频率上方波的宽度,宽度越宽则越亮、宽度越窄则越暗。 PWM是控制LED的点亮时间,而不是改变输出的电压来控制亮度。 以下为PWM工作原理: Reset接脚被连接到+V,因此它对电路没有作用。当电路通电时,Pin 2 (触发点)接脚是低电位,因为电容器C2开始放电。这开始振荡器的周期,造成第3接脚到高电位。当第3接脚到高电位时,电容器C2开始通过R1和对二极管D2充电。当在C2的电压到达+V

的2/3时启动接脚6,造成输出接脚(Pin3)跟放电接脚(Pin7)成低电位。 当第3接脚到低电位,电容器C2起动通过R1和D1的放电。当在C2的电压下跌到+V的1/3以下,输出接脚(Pin3)和放电接脚(Pin7)接脚到高电位并使电路周期重复。 Pin 5并没有被外在电压作输入使用,因此它与0.01uF电容器相接。 电容器C2通过R1及二极管,二极管一边为放电一边为充电。充电和放电电阻总和是相同的,因此输出信号的周期是恒定的。工作区间仅随R1做变化。 PWM信号的整体频率在这电路上取决于R1和C2的数值。公式:频率(Hz)= 1.44/(R1 * C2) B、HV9910B构成的恒流驱动电路 HV9910B是PWM高效率LED驱动IC。它允许电压从8VDC一直到450VDC而对HBLED有效控制。HV9910B通过一个可升至300KHz的频率来控制外部的MOSFET,该频率可用一个电阻调整。LED串是受到恒定电流的控制而不是电压,如此可提供持续稳定的光输出和提高可靠度。输出电流调整范围可从MA级到 1.0A。HV9910B使用了一种高压隔离连接工艺,可经受高达450V的浪涌输入电压的冲击。对一个LED串的输出电流能被编程设定在0和他的最大值之间的任何值,它由输入到HV9910B的线性调光器的外部控制电压所控制。 调光: 有两种方式可实现调光,取决于不同的应用,可以单独调节也可

16X16点阵显示综合实验eda

北华航天工业学院 《EDA技术综合设计》 课程设计报告 报告题目:16X16点阵显示综合实验作者所在系部:电子工程系 作者所在专业:自动化 作者所在班级:B08221 作者姓名:王建超 指导教师姓名:崔瑞雪 完成时间:2010-11-30

内容摘要 EDA技术是现代电子信息工程领域的一门新兴技术,它是在现代先进的计算机工作平台上开发出来的一整套电子系统设计的软硬件工具,并提供了先进的电子系统设计方法。随着EDA技术的不断发展,开发人员完全可以通过自己的电子系统设计来定制其芯片内部的的电路功能,使之成为设计者自己的专门集成电路芯片。 在本次课设中,设计一个共阴16X16点阵控制接口,要求:在时钟信号的控制下,使点阵动态点亮,点亮方式为使点阵显示器显示“沈小兰王建超袁利宏”九个字和一种花样,其中列选信号为16-4编码器编码输出。 列选信号采用与7段数码管的位选信号一样的处理方法,即列扫描信号频率大于24HZ。 字体、格式,注意本次为课设报告、不是实验报告 关键词:EDA、可编程逻辑器件、时钟信号、16*16点阵字符发生器

目录 一、设计要求 (1) 二、实验目的 (1) 三、硬件要求 (1) 四、实验原理 (1) 五、程序设计 (2) 16进制计数器 (2) 16*16点阵的行列驱动器 (2) 六、原理图 (12) 七、仿真波形 (12) 八、实验总结 (13) 参考文献 (13)

课程设计任务书

一、实验要求 设计一个共阴16X16点阵控制接口,要求:在时钟信号的控制下,使点阵动态点亮,点亮方式为使点阵显示器显示“沈小兰王建超袁利宏”九个字和一种花样,其中列选信号为16-4编码器编码输出。 二、实验目的 1、了解点阵字符的产生和显示原理。 2、了解E2PROM和16×16点阵LED的工作机理。 3、加强对于总线产生,地址定位的CPLD实现的理解。 三、硬件要求 1.主芯片EPF10K10LC84-4。 2.可变时钟源。 3.带有事先编程好字库/字符的E2PROM 2864。 4.16×16扫描LED点阵。 四、实验原理 16×16扫描LED点阵的工作原理同8位扫描数码管类似。它有16个共阴极输出端口,每个共阴极对应有16个LED显示灯。所以其扫描译码地址需4位信号线。要使16点阵上某个点亮,如第10行第4列的LED点亮,只要让列选信号为“0100”,从而选中第4列,再给第10行一个高电平,即可点亮该LED。本实验通过FPGA芯片写入字形,产生扫描信号。为了显示整个汉字,首先分布好汉字的排列,以行给汉字信息;然后以大于24HZ的频率扫描列,即每行逐一加高电平,根据人眼的视觉残留特性,使之形成整个汉字的显示。 由于要显示不同的字,需要给一个信DIN,对不同字不同花样进行选择。而该信号的产生可以通过一个16进制计数器完成。 本设计由16进制计数器,行驱动和列驱动组成。输出包括了如下图所示的列选信号SEL0—SEL3。

LED电源驱动电路的基本设计详解

LED电源驱动电路的基本设计详解 LED电源驱动电路解析随着白光LED的诞生及其迅速发展,LED开始进入普通照明阶段。LED是一种固态冷光源,是继白炽灯、荧光灯和高强度放电灯(HID)之后出现的第四代电光源。现已普遍应用于建筑物照明、街道照明、景观照明、标识牌、信号灯、以及住宅内的照明等领域中。 LED 供电的原始电源目前主要有三种:即低压电池、太阳能电池和交流市电电源。无论是采用哪一种原始电源,都必须经过电源变换来满足LED 的工作条件。这种电源变换电路,一般来说就是指的LED 驱动电路。在LED 太阳能供电系统中,还需要蓄电池或超级电容器,用以储存太阳能。在夜晚需要照明时,蓄电池或超级电容器再通过控制电路放电,为LED驱动电路供电。 太阳能和风能与LED 的结合,是LED 应用的一大亮点,它将为第三世界的贫困和边远地区带来光明,让绿色照明的光辉照亮世界的每一个角落。 一、低压直流供电的LED驱动电路1.当输入电压高于LED电压时 当输入电压高于LED或LED串的电压降时,通常采用线性稳压器或开关型降压稳压器。(1)线性稳压器 线性稳压器是一种DC-DC 降压式变换器。LED 驱动电路所采用的线性稳压器大都为低压差稳压器(LDO),其优点是不需要电感元件,所需元件数量少,不产生EMI,自身电压降比较低。但是与开关型稳压器相比,LDO的功率损耗还是较大,效率较低。LDO在驱动350mA以上的大功率LED串时,往往需要加散热器。 (2)开关型降压(buck)稳压器 基于单片专用IC 的开关型降压稳压器需要一个电感元件。许多降压稳压器开关频率达1MHz以上,致使外部元件非常小,占据非常小的空间,效率达90%以上。但这种变换器会产生开关噪声,存在EMI问题。图1所示是基于Zetex 公司ZXSC300的3W LED 降压型驱动电路。其中的RCS为电流传感电阻,D1为1A的肖特基二极管。在6V的输入电压下,通过LED的电流达1.11A.ZXSC300 采用5 引脚SOT23 封装。

16x16点阵显示LED

开封大学 学生毕业设计 题目点阵式汉字电子显示屏设计 年级 11级专业电子信息工程技术 班级电子3班 学生姓名苗本朋起止时间 2013.11,4-2014,05.26指导教师肖兴达职称副教授 2014年 5 月 26 日

摘要 电子显示屏的应用范围越来越广泛,它作为一个重要的宣传平台,已经受到全社会的普遍认可。本课题以单片机为控制核心,通过8x8 LED电子显示屏及相关的外围电路,设计制作了一个16x16 点阵LED电子显示屏。 本文介绍了基于AT89C51单片机点阵显示屏的设计方案,阐述了16×16点阵LED 显示屏的设计原理与思路,详细叙述了系统硬件、软件设计的具体实现过程。论文重点阐述了显示模块及相关驱动模块等的模块化设计思路与制作方法。软件部分同样也采用模块化的设计思想,显示模块,并采用简单流通性强的汇编语言编程实现。系统能实现清晰的图文伴随左移出显示功能。在实际设计调试过程中,通过肉眼观察该显示屏显示的图文是否稳定、清晰无串扰,查找造成图文不清晰的根源,确定调整方案,尽可能的使显示图文与要求相符合。 关键词:单片机;LED显示屏

目录 1 引言 (3) 1.1 课题的背景 (3) 1.2 研究目的和意义 (4) 1.3 研究内容 (5) 2 系统方案论证 (5) 2.1 方案论证 (6) 2.2模块方案确定 (6) 2.2.1 电源模块 (6) 2.2.2 单片机控制模块 (6) 2.2.3 时钟信号电路 (6) 2.2.4 复位电路 (7) 2.2.5 显示驱动模块 (7) 3 系统硬件电路设计 (8) 3.1硬件电路设计 (8) 3.2各单元电路说明 (8) 3.2.1 单片机主控模块的设计 (8) 3.2.2 16X16点阵显示模块设计 (11) 3.2.3 驱动模块电路设计 (13) 3.2.4 电源电路设计 (15) 4 系统软件设计 (18) 4.1点阵显示原理 (18) 4.2系统程序流程图 (20) 4.3系统程序 (22) 5 单片机I/O口分配 (26) 6 结果分析及总结 (26) 6.1结果分析 (26) 6.2总结 (26) 参考文献 附录1:电路图 附录2:元件清单

相关文档
最新文档