串口扩展,uart扩展,串口扩展485接口

串口扩展,uart扩展,串口扩展485接口
串口扩展,uart扩展,串口扩展485接口

串口扩展方案

基于VK3366的串口扩展串口方案

二、技术领域

电子信息

通信工程

三、现有技术的技术方案

3.1 软件模拟法:

可根据串行通讯的传送格式,利用定时器和主机的I/O口来模拟串行通讯的时序,以达到扩展串口的目的。接收过程中需要检测起始位,这可以使用查询方式或者在端口具有中断功能的主机中也可以使用端口的中断进行处理。接收和发送过程中,对定时的处理既可以使用查询方式也可以使用定时器中断方式。为了确保数据的正确性,在接收过程中可以在检测异步传输的起始信号处加上一些防干扰处理,如果是无线传输系统,在接收每个位时可以采用多次采样。对于有线系统来说,1次采样就够了,软件模拟串口还是有它自身的一些不足,面临着采样速度比较慢,采样方式还是不如硬件采样方式准确,抗干扰能力也差很多。

3.2基于TL16C554的串行口扩展

TL16C554是TI公司生产的4通道异步收发器集成芯片。对TL16C554串行通道的控制,是通过对控制寄存器LCR、IER、DLL、DLM、MCR和FCR编程来实现的。这些控制字决定字符长度、停止位的个数、奇偶校验、波特率以及调制解调器接口。控制寄存器可以任意顺序写入,但是IER必须最后一个写入,因为它控制中断使能,如果后面还需要调整数据格式,波特率这些就比较困难,操作也不够灵活。串行通道内的波特率发生器(BRG)允许时钟除以1至65535之间的任意数,BRG根据其不同的三种通用频率中的一种来决定标准波特率。

3.3 基于GM8123/25系列芯片的串行口扩展

GM8123/25系列串口扩展芯片可以全硬件实现串口扩展,通讯格式可设置,并与标准串口通讯格式兼容。GM8125可扩展5个标准串口,通过外部引脚选

择串口扩展模式:单通道工作模式和多通道工作模式。单通道模式下,无需设置芯片的通讯格式,子串口和母串口以相同的波特率工作,同一时刻只允许一组子串口和母串口通讯,工作子串口由地址线选择。单通道工作模式适用于所有从机不需要同时通讯,并且通讯过程完全由主机控制的系统。多通道模式下,各子串口波特率相同,允许所有子串口同时与母串口通讯,母串口以子串口波特率的6倍工作。发送时由地址线选择用来发送数据的子串口;接收时子串口能主动响应从机发送的数据,再由母串口发送给主机,同时由地址线返回接收到数据的子串口地址,主机在接收到子串口送来的数据后,可以根据地址线的状态判断数据是由哪一个从机发送的。

多通道工作模式下,在进行数据通讯前要对芯片进行工作方式设置,包括串口帧格式设置和通讯波特率设置。

通过串行口和控制引脚相互配合可对芯片进行工作方式设置,引脚MS为0、且STADD2~STADD0为000时写命令字,引脚MS为1、STADD2~STADD0为000时读命令字。进行工作方式设置时,芯片的帧格式和母串口工作波特率与上一次进行数据通讯时一致;而复位后的帧格式为11bit,母串口波特率为7200bps。

显然这款芯片还是有其不足的地方:首先是需要发送和接收数据时的地址控制线,占用了较多的系统资源,对于资源比较紧张的控制器来说,这点是比较难也解决的;其次是发送数据和接收数据都必须去操作控制线,这样操作起来比较复杂,而且实时性也比较差些;再次是数据格式,波特率这些修改起来比较麻烦。

3.4 基于SP2338的串行口扩展

SP2338是采用低功耗CMOS 工艺设计的通用异步串行口扩展芯片,它可轻松将主机原有的1个串行口扩展成3 个全新的全双工串行口。

SP2338适用于1个起始位、8个数据位、1个停止位的多串口系统,也就是说其帧格式是不可编程的。主机通过改变ADRI1、ADRI0地址线状态的方式选择3个子串口中的任意一个,3个子串口的地址分别为00、01、10。地址11用于执行SP2338 芯片本身的复位指令0x35 或0xB5、睡眠指令0x55或0xD5、延时指令0x00。向RX0~RX3中的任意一个接收端口写任意数据即可将

SP2338唤醒,但由于SP2338的唤醒时间需要25ms左右,故用于芯片唤醒的数据将不会被主机接收。因此,可以先发送一个字节数据用于唤醒芯片,延时25ms后即可进行正常的数据传输。

未使用的输入端口,如RX0、RX1、RX2等必须连接到VCC;未使用的输出端口,如TX0、TX1、TX2等必须悬空;未使用的ADRI0、ADRI1必须连接到GND。

主机收发数据时序为:主机TX3接收到一个字节后应立即读取SP2338的输出地址ADRO0、ADRO1的状态,判断接收到的数据来自哪个子串口;主机发送数据时,首先通过ADRI0、ADRI1选择某一个子串口,再向TX3写将发送的数据。

从对SP2338的操作来看,通过这款芯片来扩展串口,也有些不足的地方,首先,收发数据的时候,需要地址控制线,占用较多的系统资源;其次,数据格式比较单一,只支持一种数据格式。最后,对电路设计要求比较高,系统抗干扰能力比较差。

四、现有技术的缺点及本申请提案要解决的技术问题

4.1 基于GM8125的串口扩展方案

图1 GM8125与主机的连接图

基于GM8125的串口扩展串口方案,与本方案比较接近,从GM8125的使用中,我们不难看出在该方案中存在如下一些不足的地方:

1、需要占用的系资源较多,除了基本uart接口,还需要发送和接收地址控制线。

2、操作复杂,在发送和接收数据的时候除了要操作uart,还需要操作不同的地

址控制线。

3、扩展后的功能单一。仅仅只能当作最基本的uart,数据格式单一,通信速率

可调性差,主串口和子串口只能以固定的速率进行通信。

4.2基于vk3366的串口扩展原理框图

图2 VK3366与主机的连接图

1、占用系统资源较少,最少只需要接收(MRX)和发送(MTX)端口。解决了单片

机系统资源紧张的问题。

2、操作简单,只需要通过uart发送数据,就可以控制芯片的数据发送和接收,

不需要其他地址线和控制线,只需要操作相关寄存器,就能完成数据收发。

还有可靠的中断系统,通信的实时性比较好。

3、仅仅只需要一个标准3线串口就可以扩展出4个多功能串口,除了能实现基

本的串口通信的同时,还能实现485自动数据收发和网络地址自动识别,流量控制.而且还扩展出了8个通用GPIO和MODEM控制接口等诸多扩展功能。

五、本申请提案的技术方案的详细阐述

5.1基于VK3366串口扩展的原理框图

图3 VK3366串口扩展的原理框图

5.2基于VK3366串口扩展的原理图

图4 vk3366扩展的原理图

5.3硬件原图结构分析

从原理图我们可以看出,主要分为两个功能部分,一是主机部分,其二是vk3366及相关的扩展部分

主机电路主要包括:电源接口电路,复位电路,晶振电路

5.3.2 VK3366串口扩展模块

5.3.2 .1 VK3366的原理框图

图5 VK3366的原理框图

5.3.2 .2 VK3366在串口扩展时的特点

当主接口为UART时,VK3366将一个标准3线异步串口(UART)扩展成为4个增强功能串口(UART)。主接口UART在数据传输时可以选择需要转义字符和不需要转义字符两种模式。此外,主接口的UART可以通过引脚配置为红外通信模式。

每个子通道UART的波特率、字长、校验格式可以独立设置,最高可以提供

1Mbps的通信速率。每个子通道可以独立设置工作在IrDA红外通信、RS-485自动收发控制、9位网络地址自动识别、软件/硬件自动流量控制、广播接收等高级工作模式下。每个子通道具备收/发独立的16 BYTE FIFO,FIFO的中断为4级可编程条件触发点。

提供一个子通道的调制解调器(MODEM)控制信号。

5.3.2 .3 VK3366 使用说明

当主接口是采用串口方式的时候。对芯片的操作方式采用读写寄存器的方式,首先通过主串口发送一个控制字节,然后再发送或者接收一个操作数据字节。地址字节包含的信息为寄存器地址和读写控制位。操作数据字节一般为通信数据或者对寄存器的配置数据。

寄存器列表

子串口寄存器11个,其排列为C1C0 REG[3:0] ,高两位为子串口通道号,低4位为寄存器地址,按低4位的寄存器地址具体排列见表2:

操作时序

写操作时,先向VK3366的RX 写入一个命令字节(Command Byte ),随后写入相应的数据字节,其操作时序(无校验,禁止转义和红外模式)如图6所示:

1RX

TX IR TR start stop start stop

LSB MSB LSB MSB

读操作时,先向VK3366的RX 写入命令字节,相应的数据字节从TX 读取,其操作时序(无校验,禁止转义和红外模式)如图7所示

主UART 通信传输协议描述:

图6 UART 主接口写操作时序 图7 UART 主接口读操作时序

说明:C1,C0:子串口通道号,00~11分别对应子串口1到子串口4。

A3,A2,A1,A0:子串口寄存器地址;

N3,N2,N1,N0:写入/读取FIFO的数据字节个数;当其为0000时,表明后接1个数据字节;当其为1111时,表明后接16个数据字节;

5.4软件设计

5.4.1 芯片初始话

5.4.2 基于51系统操作vk3366的典型函数:

//************************************************************

//Function:通过串口发送1个字节的数据

//输入:dat为发送的数据

//输出:无

//日期:

//************************************************************

void uart_sendByte(unsigned char dat)

{

SBUF=dat;

while(!TI); //waite for data to transmit completely

SCON &= 0xFD;

}

//***************************************************************

//Function:串口接收1个字节的数据

//输入:无

//返回:rec 函数返回读取到的数据

//日期:

//***************************************************************

unsigned char uart_recByte(void)

{

unsigned char rec=0;

while(!RI); //waite to recieve data in SBUF0

rec=SBUF;

SCON &= 0xFE;

return rec;

}

//***************************************************************

//Function:读取寄存器值

//输入:port为子串口的路数,reg为寄存器的地址

//输出:返回值是读取的寄存器的值

//日期:

//***************************************************************

unsigned char read_reg(unsigned char port,unsigned char reg)

{

uart_sendByte(((port-1)<<4)+reg); //写寄存器地址

return uart_recByte();//接收返回数据

}

//***************************************************************

//Function:往寄存器里面写数据

//输入:port为子串口的路数,reg为寄存器的地址,dat 为写入寄存器的值

//返回:无

//日期:

//***************************************************************

void write_reg(unsigned char port,unsigned char reg,unsigned char dat) {

uart_sendByte(0x80+((port-1)<<4)+reg); //写寄存器地址

uart_sendByte(dat);//写数据

}

//Function:VK3366初始化函数

//输入:port为子串口的路数,reg为寄存器的地址,dat 为写入寄存器的值

//返回:无

//日期:

//***************************************************************

void config_vkxx()

{

write_reg(1,SCTLR,0X38);//使能子串口,设置子串口的波特率(默认值),具体参看数据手册中,子串口波特率设置

write_reg(2,SCTLR,0X38);

write_reg(3,SCTLR,0X38);

write_reg(4,SCTLR,0X38);

//************************************************************

write_reg(1,SFOCR,0XFF);//FIFO设置,清空发送接收FIFO中的数据,write_reg(2,SFOCR,0XFF);

write_reg(3,SFOCR,0XFF);

write_reg(4,SFOCR,0XFF);

write_reg(1,SFOCR,0XFC); //使能发送接收FIFO

write_reg(2,SFOCR,0XFC);

write_reg(3,SFOCR,0XFC);

write_reg(4,SFOCR,0XFC);

//**************************************************************

while(read_reg(1,SFSR))

read_reg(1,SFDR);

while(read_reg(2,SFSR))

read_reg(2,SFDR);

while(read_reg(3,SFSR))

read_reg(3,SFDR);

while(read_reg(4,SFSR))

read_reg(4,SFDR);

//查看发送接收FIFO中是否有数据,如果有则把FIFO中的数据读出来,//使发送接收FIFO中的数据清空

//**************************************************************

}

5.5 各种功能模块的实现:

5.5.1 基本串口的实现

向4个子串口发送数据

write_reg(1,SFDR,m);

write_reg(2,SFDR,m);

write_reg(3,SFDR,m);

write_reg(4,SFDR,m);

从4个子串口接收数据

dat1=read_reg(1,SFDR);

dat2=read_reg(2,SFDR);

dat3=read_reg(3,SFDR);

dat4=read_reg(4,SFDR);

UART硬件流量控制示意图:

图8 硬件流量控制示意图

在硬件自动流量控制模式下,一旦数据接收端接收FIFO中数据的个数达到设定的触发点时,为防止接收FIFO溢出,接收端将自动拉高RTS,数据发送端的相应的CTS变高,数据接收端检测到CTS变高后,将发送完当前字节后即暂停数据发送。

发送端暂停数据发送后,接收端的主机接口读取接收FIFO中的数据以释放接收FIFO空间,当接收FIFO中数据的个数减少到继续发送触发点时,接收端的CTS自动变为低电平,发送端相应的RTS变为低电平,发送端检测到RTS为低后,将恢复数据发送。

下图显示了硬件流量控制下的时序操作(硬件流量控制下RTS和CTS的操作

与MODEM模式下的RTS和CTS操作一样):

图9 硬件流量操作时序图

5.5.3 扩展485接口

图10 RS485连接图

操作说明:

在RS485模式下,流量控制将被禁止。RTS信号用于控制RS485收发器的自动收发控制。

只有在发送数据时,RTS才为高,其它情况下,RTS都保持低。

具体操作步骤:

A、我们设置子串口工作在普通的232模式

例:write_reg(1,SCTLR,0X38); //在8位数据485模式时,应使用普通的232模式

B、我们配置RTS信号为手动硬件流模式

例:write_reg(1,SFWCR,0X0E);// 设置为硬件手动控制模式,为手动设置RTS信号做准备

C、发送数据之前先拉高RTS信号;

例:write_reg(1,SIFR,0X08);//手动设置RTS信号,使RTS保持高电平,控制sp485转换芯片的RE和DE控制。为数据发送做准备。

write_reg(1,SFDR,0x11);//拉高RTS信号后,发送数据0x11

D、数据发送完毕后,要及时拉低RTS信号,是芯片处于接收状态

例:write_reg(1,SIFR,0X00); //数据发送完后,拉低RTS引脚电平

经过上面的几步就完成了数据的收发。

在主接口为串口UART模式时,可以实现8位GPIO的扩展。其操作方式很简单,通过读写GPIO寄存器就可以实现8位io口的操作了。具体的操作见下write_reg(3,GPIO,0X38);//写操作

data=read_reg(1,GPIO);//读操作

5.5.5 MODEM扩展接口

MODEM控制信号由DCD,DTR,DSR,RI,RTS和CTS组成。在这些

信号中,DTR和RTS为输出信号,其它的信号均为输入信号。RTS和CTS的操作和硬件流量控制模式下操作一样。因此,在MODEM控制中,需要将子串口设置为RS232模式,然后选择适当的流量控制模式。DCD,DTR,DSR和RI由相应的寄存器控制。

MODEM控制信号同时也可以作为GPIO使用,输入信号发生改变时(从高到低或从低到高),将产生相应的中断。

六、本申请提案的关键点和欲保护点

1、串口扩展串口方式占用系统资源少,仅仅只需要标准的串口

2、扩展成了增强功能的串口,增强的功能包括:每个子通道UART的波特率、

字长、校验格式可以独立设置,最高可以提供1Mbps的通信速率。可以独立设置工作在IrDA红外通信、RS-485自动收发控制、9位网络地址自动识别、软件/硬件自动流量控制、广播接收等高级工作模式下。

3、操作灵活、简单,完全采用读写寄存器的方式对芯片进行操作。

七、与第三条中最接近的现有技术相比,本申请提案有何技术优点

1、占用主机系统资源小,只需要标准3线串口,就能实现增强功能串口扩展,

不需要地址控制线和读写控制线,大大节省了系统资源。

2、通过标准串口扩展出来的资源丰富,除了增强功能的串口外,还有MODEM

和GPIO.在一定程度上缓解了系统资源紧张的问题。

3、操作简单,灵活。不再需要操作地址控制线,只需要通过串口发送相应的控

制字节即可。

八、其他有助于理解本申请提案的技术资料

VK3366数据手册

cc2530串口UART0通信实验(20200627130016)

/********************* 头文件*************************************************/ #include #include /********************* 宏定义*************************************************/ #define uint unsigned int #define uchar unsigned char // --------------- LED 控制端口------------------------------- // #define GLED P1_0〃绿色LED定义 #define RLED P1_1〃红色LED定义 // --------------- 按键输入端口------------------------------- // #define KEY1 P0_0 /********************* 全局变量**********************************************/ unsigned char Uart0_Rx; unsigned char Text_Data[]=" 海舟物联网教育!\r\n"; /********************* 函数声明***********************************************/ void Delay(uint); void Init_LED(void); void Init_Uart0(void); void Init_Sysclk(void); void Uart0_TX_Data(unsigned char *Data,int len); /****************************************************************************** * 函数名称: void Delay(uint n) * 函数功能: 软件延时函数 * 入口参数: * 出口参数: * 备注: ******************************************************************************/ void Delay(uint n) { uint i; for(i=0;i

UART串口通信设计实例

2.5 UART串口通信设计实例(1) 接下来用刚才采用的方法设计一个典型实例。在一般的嵌入式开发和FPGA设计中,串口UART是使用非常频繁的一种调试手段。下面我们将使用Verilog RTL编程设计一个串口收发模块。这个实例虽然简单,但是在后续的调试开发中,串口使用的次数比较多,这里阐明它的设计方案,不仅仅是为了讲解RTL编程,而且为了后续使用兼容ARM9内核实现嵌入式开发。 串口在一般的台式机上都会有。随着笔记本电脑的使用,一般会采用USB转串口的方案虚拟一个串口供笔记本使用。图2-7为UART串口的结构图。串口具有9个引脚,但是真正连接入FPGA开发板的一般只有两个引脚。这两个引脚是:发送引脚TxD和接收引脚RxD。由于是串行发送数据,因此如果开发板发送数据的话,则要通过TxD线1 bit接着1 bit 发送。在接收时,同样通过RxD引脚1 bit接着1 bit接收。 再看看串口发送/接收的数据格式(见图2-8)。在TxD或RxD这样的单线上,是从一个周期的低电平开始,以一个周期的高电平结束的。它中间包含8个周期的数据位和一个周期针对8位数据的奇偶校验位。每次传送一字节数据,它包含的8位是由低位开始传送,最后一位传送的是第7位。

这个设计有两个目的:一是从串口中接收数据,发送到输出端口。接收的时候是串行的,也就是一个接一个的;但是发送到输出端口时,我们希望是8位放在一起,成为并行状态(见图2-10)。我们知道,串口中出现信号,是没有先兆的。如果出现了串行数据,则如何通知到输出端口呢?我们引入“接收有效”端口。“接收有效”端口在一般情况下都是低电平,一旦有数据到来时,它就变成高电平。下一个模块在得知“接收有效”信号为高电平时,它就明白:新到了一个字节的数据,放在“接收字节”端口里面。

串行口通信实验 单片机实验报告

实验六串行口通信实验 一、实验内容 实验板上有RS-232接口,将该接口与PC机的串口连接,可以实现单片机与PC机的串行通信,进行双向数据传输。本实验要求当PC机向实验板发送的数字在实验板上显示,按实验板键盘输入的数字在PC机上显示,并用串口助手工具软件进行调试。 二、实验目的 掌握单片机串行口工作原理,单片机串行口与PC机的通信工作原理及编程方法。 三、实验原理 51单片机有一个全双工的串行通讯口,所以单片机和电脑之间可以方便地进行串口通信。进行串行通讯信要满足一定的条件,比如电脑的串口是RS232电平(-5~-15V为1,+5~+15V为0),而单片机的串口是TTL电平(大于+2.4V为1,小于- 0.7V为0),两者之间必须有一个电平转换电路实现RS232电平与TTL电平的相互转换。 为了能够在PC机上看到单片机发出的数据,我们必须借助一个Windows软件进行观察,这里我们可以使用免费的串口调试程序SSCOM32或Windows的超级终端。 单片机串行接口有两个控制寄存器:SCON和PCON。串行口工作在方式0时,可通过外接移位寄存器实现串并行转换。在这种方式下,数据为8位,只能从RXD端输入输出,TXD端用于输出移位同步时钟信号,其波特率固定为振荡频率的1/12。由软件置位串行控制寄存器(SCON)的REN位后才能启动,串行接收,在CPU将数据写入SBUF寄存器后,立即启动发送。待8位数据输完后,硬件将SCON寄存器的T1位置1,必须由软件清零。 单片机与PC机通信时,其硬件接口技术主要是电平转换、控制接口设计和远近通信接口的不同处理技术。在DOS操作环境下,要实现单片机与微机的通信,只要直接对微机接口的通信芯片8250进行口地址操作即可。WINDOWS的环境下,由于系统硬件的无关性,不再允许用户直接操作串口地址。如果用户要进行串行通信,可以调用WINDOWS的API 应用程序接口函数,但其使用较为复杂,可以使用KEILC的通信控件解决这一问题。 四、实验电路 [参考学习板说明书P27]

实验报告-实验一IAR串口通信

实验报告-实验一IAR串口通信 以下是为大家整理的实验报告-实验一IAR串口通信的相关范文,本文关键词为实验,报告,IAR,串口,通信,实验,平台,使用,串口,通信,您可以从右上方搜索框检索更多相关文章,如果您觉得有用,请继续关注我们并推荐给您的好友,您可以在综合文库中查看更多范文。 实验一平台使用及串口通信 本次实验报告在下课后3天内上交!一、实验目的 (1)了解物联网实验 (2)箱熟悉IAR开发平台

(3)能够读懂原理图中有关传感器的接口电路(4)能够建工程项目并编写程序(5)掌握串口通信 二、实验设备 仿真器1台,gec_cc2530板1块,usb连接线1根。 三、实验内容 使用cc2530通过uART接收控制命令,完成LeD的控制。 四、实验代码 完善红色注释部分代码: #include#include #defineuintunsignedint#defineucharunsignedchar #defineRLeDp1_0#definegLeDp1_1#defineYLeDp1_4 voidinituART0(void); ucharRecdata[3]=%ucharRxTxflag=1; uchartemp; uintdatanumber=0;uintstringlen; /*******************************@brief串口(uART0)初始化******************************/voidinituART0(void){ cLKconcmD//设置系统时钟源为32mhZ晶振while(cLKconsTA//等待晶振稳定 cLKconcmD//设置系统主时钟频率为32mhZpeRcFg=0x00;//位置1p0口p0seL=0x3c;//p0用作串口

串口通信实验报告全版.doc

实验三双机通信实验 一、实验目的 UART 串行通信接口技术应用 二、实验实现的功能 用两片核心板之间实现串行通信,将按键信息互发到对方数码管显示。 三、系统硬件设计 (1)单片机的最小系统部分 (2)电源部分 (3)人机界面部分

数码管部分按键部分 (4)串口通信部分 四、系统软件设计 #include #define uchar unsigned char #define uint unsigned int void send(); uchar code0[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};//0-9的数码管显示 sbit H1=P3^6; sbit H2=P3^7;

sbit L1=P0^5; sbit L2=P0^6; sbit L3=P0^7; uint m=0,i=0,j; uchar temp,prt; /***y延时函数***/ void delay(uint k) { uint i,j; //定义局部变量ij for(i=0;i

{ m=1; //KEY1键按下 return(m); } if(H2==0) { m=4; //KEY4键按下 return(m); } } } if(L2==0) { delay(5); if (L2==0) { L2=0;H1=1;H2=1; if(H1==0) { m=2; //KEY2键按下 return(m); } if(H2==0) { m=5; //KEY5键按下 return(m); } } } if(L3==0) { delay(5); if (L3==0) { L3=0;H1=1;H2=1; if(H1==0) { m=3; //KEY3键按下

dsp实验-UART串口通信实验

实验八、UART串口通信实验 一、实验目的 1. 了解RS232通信接口的基本原理; 2. 熟悉通信接口芯片(TL16C550C)在DSP I/O空间寄存器的地址映射 及工作原理; 3.了解异步通信中串口模式选择、设置数据传输格式、设置波特率、建立连接、传输数据和断开连接等功能。 二、实验设备 1. 集成开发环境CCS 2. 实验开发板TMS320VC5402DSK、RS232接口电缆线及附件 3.程序“串口调试程序v2.2.exe” 三、实验内容及步骤 实验操作流程参照前面实验。 1 在汇编环境调试Uart:(实现字符或文件的发送和回发功能) a. 实验代码main.s54、uartasm.cmd和uart_init.s54、dsp_init.s54 以及uartasm.h54,c5402_dsk.gel(说明同前)。 b. 串口调试程序“串口调试程序v2.2.exe” , 汇编调试中Build option设置情况与CODEC实验中的汇编调试设置及出错情况相同。 c. 程序文件介绍: 1)“uart.h54”定义了一些寄存器的地址以及函数类型。 2)“dsp_init.s54”与dsp工作有关的寄存器ST1、PMST、IMR、IFR和SWWSR,并且清除INTM位以及设定时钟模式。 3)“uart_init.s54”先检测UART模块是否工作正常,然后设定UART模块的寄存器,如CNTL1、CNTL2、LCR、MSB&LSB(设定波特率)、FCR、IER 等。 4)“main.s54”主程序查看标志位,检测是否UART存在有效接收数据。若有,则执行一定的处理,包括亮LED以及将接收的数据从UART回发。 d. 执行程序时,只要发送数据时,可在串口调试程序的接收窗口立即看到回发的数据。 e. 程序中UART工作参数设置如下: 1)波特率9600(或更低)、无数据校验、字符长度8比特、停止位1、

UART串口通信实验报告

实验四 UART 串口通信 学院:研究生院 学号:1400030034 姓名:张秋明 一、 实验目的及要求 设计一个UART 串口通信协议,实现“串 <-->并”转换功能的电路,也就是 “通用异步收发器”。 二、 实验原理 UART 是一种通用串行数据总线,用于异步通信。该总线双向通信,可以实 现全双工传输和接收。在嵌入式设计中,UART 用来主机与辅助设备通信,如汽 车音响与外接AP 之间的通信,与PC 机通信包括与监控调试器和其它器件,如 EEPROM 通信。 UART 作为异步串口通信协议的一种,工作原理是将传输数据的每个字符一 位接一位地传输。 其中各位的意义如下: 起始位:先发出一个逻辑” 0的信号,表示传输字符的开始。 资料位:紧接着起始位之后。资料位的个数可以是 4、5、6、7、8等,构成 一个字符。通常采用ASCII 码。从最低位开始传送,靠时钟定位。 奇偶校验位:资料位加上这一位后,使得“ 1的位数应为偶数(偶校验)或奇数 (奇校验),以此来校验资料传送的正确性。 停止位:它是一个字符数据的结束标志。可以是 1位、1.5位、2位的高电 平。由于数据是在传输线上定时的,并且每一个设备有其自己的时钟,很可能 在通信中两台设备间出现了小小的不同步。 因此停止位不仅仅是表示传输的结束, 并且提供计算机校正时钟同步的机会。适用于停止位的位数越多,不同时钟同步 的容忍程度越大,但是数据传输率同时也越慢。 空闲位:处于逻辑“ 1状态,表示当前线路上没有资料传送。 波特率:是衡量资料传送速率的指标。表示每秒钟传送的符号数(symbol )。 一个符号代表的信息量(比特数)与符号的阶数有关。例如资料传送速率为 120 字符/秒,传输使用256阶符号,每个符号代表8bit ,则波特率就是120baud,比 特率是120*8=960bit/s 。这两者的概念很容易搞错。 三、 实现程序 library ieee; use ieee.std 」o gic_1164.all; end uart; architecture behav of uart is en tity uart is port(clk : in std_logic; rst_n: in std 」o gic --系统时钟 --复位信号 rs232_rx: in std 」o gic rs232_tx: out std 」o gic --RS232接收数据信号; --RS232发送数据信号;); use ieee.std_logic_ un sig ned.all;

UART串行口简介

UART异步串行口 UART异步串行口简介 数据通信的基本方式可分为并行通信与串行通信两种: 并行通信:是指利用多条数据传输线将一个资料的各位同时传送。它的特点是传输速度快,适用于短距离通信,但要求通讯速率较高的应用场合。 串行通信:是指利用一条传输线将资料一位位地顺序传送。特点是通信线路简单,利用简单的线缆就可实现通信,降低成本,适用于远距离通信,但传输速度慢的应用场合。 UART 异步串行口的传输格式 异步通信以一个字符为传输单位,通信中两个字符间的时间间隔是不固定的,然 而在同一个字符中的两个相邻位代码间的时间间隔是固定的。 通信协议(通信规程):是指通信双方约定的一些规则。在使用异步串口传送一个 字符的信息时,对资料格式有如下约定:规定有空闲位、起始位、资料位、奇偶校验位、停止位。通讯时序图如下: 开始前,线路处于空闲状态,送出连续“1”。传送开始时首先发一个“0”作为 起始位,然后出现在通信线上的是字符的二进制编码数据。 每个字符的数据位长可以约定为5 位、6 位、7 位或8 位,一般采用ASCII 编码。后面是奇偶校验位,根据约定,用奇偶校验位将所传字符中为“1”的位数凑成奇 数个或偶数个。也可以约定不要奇偶校验,这样就取消奇偶校验位。 最后是表示停止位的“1”信号,这个停止位可以约定持续1 位、1.5 位或2 位 的时间宽度。 至此一个字符传送完毕,线路又进入空闲,持续为“1”。经过一段随机的时间后,下一个字符开始传送才又发出起始位。 每一个数据位的宽度等于传送波特率的倒数。微机异步串行通信中,常用的波特 率为110,150,300,600,1200,2400,4800,9600 ,19200,38400,115200等。 S3C2410的异步串行口 1

UART串口通信实验报告

实验四UART串口通信 学院:研究生院学号:1400030034姓名:张秋明 一、实验目的及要求 设计一个UART串口通信协议,实现“串<-->并”转换功能的电路,也就是“通用异步收发器”。 二、实验原理 UART是一种通用串行数据总线,用于异步通信。该总线双向通信,可以实现全双工传输和接收。在嵌入式设计中,UART用来主机与辅助设备通信,如汽车音响与外接AP之间的通信,与PC机通信包括与监控调试器和其它器件,如EEPROM通信。 UART作为异步串口通信协议的一种,工作原理是将传输数据的每个字符一位接一位地传输。 其中各位的意义如下: 起始位:先发出一个逻辑”0”的信号,表示传输字符的开始。 资料位:紧接着起始位之后。资料位的个数可以是4、5、6、7、8等,构成一个字符。通常采用ASCII码。从最低位开始传送,靠时钟定位。 奇偶校验位:资料位加上这一位后,使得“1”的位数应为偶数(偶校验)或奇数(奇校验),以此来校验资料传送的正确性。 停止位:它是一个字符数据的结束标志。可以是1位、1.5位、2位的高电平。由于数据是在传输线上定时的,并且每一个设备有其自己的时钟,很可能在通信中两台设备间出现了小小的不同步。因此停止位不仅仅是表示传输的结束,并且提供计算机校正时钟同步的机会。适用于停止位的位数越多,不同时钟同步的容忍程度越大,但是数据传输率同时也越慢。 空闲位:处于逻辑“1”状态,表示当前线路上没有资料传送。 波特率:是衡量资料传送速率的指标。表示每秒钟传送的符号数(symbol)。一个符号代表的信息量(比特数)与符号的阶数有关。例如资料传送速率为120字符/秒,传输使用256阶符号,每个符号代表8bit,则波特率就是120baud,比特率是120*8=960bit/s。这两者的概念很容易搞错。 三、实现程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity uart is port(clk : in std_logic; --系统时钟 rst_n: in std_logic; --复位信号 rs232_rx: in std_logic; --RS232接收数据信号; rs232_tx: out std_logic --RS232发送数据信号;); end uart; architecture behav of uart is

SPI、I2C、UART三种串行总线的原理、区别及应用

简单描述: SPI 和I2C这两种通信方式都是短距离的,芯片和芯片之间或者其他元器件如传感器和芯片之间的通信。SPI和IIC是板上通信,IIC有时也会做板间通信,不过距离甚短,不过超过一米,例如一些触摸屏,手机液晶屏那些很薄膜排线很多用IIC,I2C能用于替代标准的并行总线,能连接的各种集成电路和功能模块。I2C 是多主控总线,所以任何一个设备都能像主控器一样工作,并控制总线。总线上每一个设备都有一个独一无二的地址,根据设备它们自己的能力,它们可以作为发射器或接收器工作。多路微控制器能在同一个I2C总线上共存这两种线属于低速传输; 而UART是应用于两个设备之间的通信,如用单片机做好的设备和计算机的通信。这样的通信可以做长距离的。UART和,UART就是我们指的串口,速度比上面三者快,最高达100K左右,用与计算机与设备或者计算机和计算之间通信,但有效范围不会很长,约10米左右,UART优点是支持面广,程序设计结构很简单,随着USB的发展,UART也逐渐走向下坡; SmBus有点类似于USB设备跟计算机那样的短距离通信。 简单的狭义的说SPI和I2C是做在电路板上的。而UART和SMBUS是在机器外面连接两个机器的。 详细描述: 1、UART(TX,RX)就是两线,一根发送一根接收,可以全双工通信,线数也比较少。数据是异步传输的,对双方的时序要求比较严格,通信速度也不是很快。在多机通信上面用的最多。 2、SPI(CLK,I/O,O,CS)接口和上面UART相比,多了一条同步时钟线,上面UART 的缺点也就是它的优点了,对通信双方的时序要求不严格不同设备之间可以很容易结合,而且通信速度非常快。一般用在产品内部元件之间的高速数据通信上面,如大容量存储器等。 3、I2C(SCL,SDA)接口也是两线接口,它是两根线之间通过复杂的逻辑关系传输数据的,通信速度不高,程序写起来也比较复杂。一般单片机系统里主要用来和24C02等小容易存储器连接。 SPI:高速同步串行口。3~4线接口,收发独立、可同步进行 UART:通用异步串行口。按照标准波特率完成双向通讯,速度慢 SPI:一种串行传输方式,三线制,网上可找到其通信协议和用法的 3根线实现数据双向传输 串行外围接口 Serial peripheral interface UART:通用异步收发器 UART是用于控制计算机与串行设备的芯片。有一点要注意的是,它提供了

ARM串口实验报告.

《 APM串口实验》 实验报告 课程名称:嵌入式微处理器技术 班级:电信 0901 姓名: 地点:嵌入式系统基础实训室 指导教师:王瑾 ARM的串行口实验 一、实验目的 ◆ 1.掌握ARM 的串行口工作原理。 ◆ 2.学习编程实现ARM 的UART 通讯。 ◆ 3.掌握CPU 利用串口通讯的方法。 二、实验内容 学习串行通讯原理,了解串行通讯控制器,阅读ARM 芯片文档,掌握ARM 的UART 相关寄存器的功能,熟悉ARM 系统硬件的UART 相关接口。编程实现ARM 和计算机实现串行通讯: ARM 监视串行口,将接收到的字符再发送给串口(计算机与开发平台是通过超级终端通讯的),即按PC 键盘通过超级终端发送数据,开发平台将接收到的数据再返送给PC,在 超级终端上显示。 三、预备知识 1、1.用ARM SDT 2.5或ADS1.2 集成开发环境,编写和调试程序的基本过程。 2、2.ARM 应用程序的框架结构。

3、3.了解串行总线 四、实验设备及工具 硬件:ARM嵌入式开发平台、用于ARM7TDMI 的JTAG 仿真器、PC机Pentium100 以上、串口线。 软件:PC 机操作系统win98、Win2000 或WinXP 、ARM SDT 2.51 或ADS1.2 集成开发环境、仿真器驱动程序、超级终端通讯程序。 五、实验原理及说明 1.异步串行I/O 异步串行方式是将传输数据的每个字符一位接一位(例如先低位、后高位地传送。数据的各不同位可以分时使用同一传输通道,因此串行I/O 可以减少信号连线,最少用一对线即可进行。接收方对于同一根线上一连串的数字信号,首先要分割成位,再按位组成字符。为了恢复发送的信息,双方必须协调工作。在微型计算机中大量使用异步串行I/O 方式,双方使用各自的时钟信号,而且允许时钟频率有一定误差,因此实现较容易。但是由于每个字符都要独立确定起始和结束(即每个字符都要重新同步,字符和字符间还可能有长度不定的空闲时间,因此效率较低。 图2-1 串行通信字符格式 图2-1 给出异步串行通信中一个字符的传送格式。开始前,线路处于空闲状态,送出连续“1”。传送开始时首先发一个“0” 作为起始位,然后出现在通信线上的是字符的二进 制编码数据。每个字符的数据位长可以约定为5 位、6 位、7 位或8 位,一般采用ASCII 编码。后面是奇偶校验位,根据约定,用奇偶校验位将所传字符中为“1”的位数凑成奇数个或偶数个。也可以约定不要奇偶校验,这样就取消奇偶校验位。最后是表示停止位的“1” 信号,这个停止位可以约定持续1 位、1.5位或2 位的时间宽度。至此一个字符传送完毕,线路又进入空闲,持续为“1”。经过一段随机的时间后,下一个字符开始传送才又发出起始位。 每一个数据位的宽度等于传送波特率的倒数。微机异步串行通信中,常用的波特率为50,

实验四 UART串口通信实验报告

实验四UART串口通信 一、实验目的及要求 设计一个UART串口通信协议,实现“串<-->并”转换功能的电路,也就是“通用异步收发器”。 二、实验原理 UART是一种通用串行数据总线,用于异步通信。该总线双向通信,可以实现全双工传输和接收。在嵌入式设计中,UART用来主机与辅助设备通信,如汽车音响与外接AP之间的通信,与PC机通信包括与监控调试器和其它器件,如EEPROM通信。 UART作为异步串口通信协议的一种,工作原理是将传输数据的每个字符一位接一位地传输。 其中各位的意义如下: 起始位:先发出一个逻辑”0”的信号,表示传输字符的开始。 资料位:紧接着起始位之后。资料位的个数可以是4、5、6、7、8等,构成一个字符。通常采用ASCII码。从最低位开始传送,靠时钟定位。 奇偶校验位:资料位加上这一位后,使得“1”的位数应为偶数(偶校验)或奇数(奇校验),以此来校验资料传送的正确性。 停止位:它是一个字符数据的结束标志。可以是1位、1.5

位、2位的高电平。由于数据是在传输线上定时的,并且每一个设备有其自己的时钟,很可能在通信中两台设备间出现了小小的不同步。因此停止位不仅仅是表示传输的结束,并且提供计算机校正时钟同步的机会。适用于停止位的位数越多,不同时钟同步的容忍程度越大,但是数据传输率同时也越慢。 空闲位:处于逻辑“1”状态,表示当前线路上没有资料传送。 波特率:是衡量资料传送速率的指标。表示每秒钟传送的符号数(symbol)。一个符号代表的信息量(比特数)与符号的阶数有关。例如资料传送速率为120字符/秒,传输使用256阶符号,每个符号代表8bit,则波特率就是120baud,比特率是120*8=960bit/s。这两者的概念很容易搞错。 三、实现程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity uart is port(clk : in std_logic; --系统时钟rst_n: in std_logic; --复位信号 rs232_rx: in std_logic; --RS232接收

UART实验报告

实验四 uart串口通信学院:研究生院学号:1400030034 姓名:张秋明 一、实验目的及要求 设计一个uart串口通信协议,实现“串<-->并”转换功能的电路,也就是“通用 异步收发器”。 二、实验原理 uart是一种通用串行数据总线,用于异步通信。该总线双向通信,可以实现全双工传输 和接收。在嵌入式设计中,uart用来主机与辅助设备通信,如汽车音响与外接ap之间的通 信,与pc机通信包括与监控调试器和其它器件,如eeprom通信。 uart作为异步串口通信协议的一种,工作原理是将传输数据的每个字符一位接一位地传 输。 其中各位的意义如下: 起始位:先发出一个逻辑”0”的信号,表示传输字符的开始。 资料位:紧接着起始位之后。资料位的个数可以是4、5、6、7、8等,构成一个字符。 通常采用ascii码。从最低位开始传送,靠时钟定位。 奇偶校验位:资料位加上这一位后,使得“1”的位数应为偶数(偶校验)或奇数(奇校验), 以此来校验资料传送的正确性。 停止位:它是一个字符数据的结束标志。可以是1位、1.5位、2位的高电平。由于数 据是在传输线上定时的,并且每一个设备有其自己的时钟,很可能在通信中两台设备间出现 了小小的不同步。因此停止位不仅仅是表示传输的结束,并且提供计算机校正时钟同步的机 会。适用于停止位的位数越多,不同时钟同步的容忍程度越大,但是数据传输率同时也越慢。 空闲位:处于逻辑“1”状态,表示当前线路上没有资料传送。 波特率:是衡量资料传送速率的指标。表示每秒钟传送的符号数(symbol)。一个符号代 表的信息量(比特数)与符号的阶数有关。例如资料传送速率为120字符/秒,传输使用256 阶符号,每个符号代表8bit,则波特率就是120baud,比特率是120*8=960bit/s。这两者的 概念很容易搞错。 三、实现程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity uart is port(clk : in std_logic; --系统时钟 rst_n: in std_logic; --复位信号 rs232_rx: in std_logic; --rs232接收数据信号; rs232_tx: out std_logic --rs232发送数据信号;); end uart; architecture behav of uart is rs232_rx: in std_logic; --rs232接收数据信号 clk_bps: in std_logic; --此时clk_bps的高电平为接收数据的采样点 bps_start:out std_logic; --接收到数据后,波特率时钟启动置位 rx_data: out std_logic_vector(7 downto 0); --接收数据寄存器,保存直至下一个 数据来到 rx_int: out std_logic --接收数据中断信号,接收数据期间 时钟为高电平,传送给串口发送); bps_start:in std_logic --接收数据后,波特率时钟启动信号置位);

RAM实验报告

ARM的串行口实验 姓名: 学号: 班级: 2010年10月13号 目录

一、实验目的 (3) 二、实验内容 (3) 三、预备知识 (3) 四、实验设备及工具 (3) 五、实验原理及说明 (4) 六、实验步骤 (4) 七、思考题 (5) 1.232串行通讯的数据格式是什么? (5) 2.串行通讯最少需要几根线,分别如何连接? (6) 3.ARM的串行口有几个,相应的寄存器是什么? (6)

一、实验目的 1.掌握ARM的串行口工作原理。 2.学习编程实现ARM的UART通讯。 3.掌握CPU利用串口通讯的方法。 二、实验内容 学习串行通讯原理,了解串行通讯控制器,阅读ARM芯片文档,掌握ARM的UART相关寄存器的功能,熟悉ARM系统硬件的UART相关接口。编程实现ARM和计算机实现串行通讯: ARM监视串行口,将接收到的字符再发送给串口(计算机与开发板是通过超级终端通讯的),即按PC键盘通过超级终端发送数据,开发板将接收到的数据再返送给PC,在超级终端上显示。 三、预备知识 1、用EWARM集成开发环境,编写和调试程序的基本过程。 2、ARM应用程序的框架结构。 3、了解串行总线 四、实验设备及工具 硬件:ARM嵌入式开发平台、PC机Pentium100以上、用于ARM920T的JTAG仿真器、串口线。

软件:PC机操作系统Win2000或WinXP、EWARM集成开发环境、仿真器驱动程序、超级终端通讯程序。 五、实验原理及说明 异步串行I/O 异步串行方式是将传输数据的每个字符一位接一位(例如先低位、后高位)地传送。数据的各不同位可以分时使用同一传输通道,因此串行I/O可以减少信号连线,最少用一对线即可进行。接收方对于同一根线上一连串的数字信号,首先要分割成位,再按位组成字符。为了恢复发送的信息,双方必须协调工作。在微型计算机中大量使用异步串行I/O方式,双方使用各自的时钟信号,而且允许时钟频率有一定误差,因此实现较容易。但是由于每个字符都要独立确定起始和结束(即每个字符都要重新同步),字符和字符间还可能有长度不定的空闲时间,因此效率较低。 六、实验步骤 1.编写串口驱动函数 2.在主函数中实现将从串口0接收到的数据发送到串口0(Main.c): 3. 启动H-JTAG 仿真器并进行初始化配置。 4. 启动EWARM新建工程,将“Exp1 ARM串口实验”中的文件添加到工程中并调试运行。

单片机实验报告串行口

单片机实验报告 实验名称:串行通信实验 姓名:魏冶 学号:090402105 班级:光电一班 实验时间:2011-11-29 南京理工大学紫金学院电光系

一、实验目的 1、理解单片机串行口的工作原理; 2、学习使用单片机的TXD、RXD口; 3、了解MAX232芯片的使用。 二、实验原理 MCS-51单片机内部集成有一个UART,用于全双工方式的串行通信,可以发送、接收数据。它有两个相互独立的接收、发送缓冲器,这两个缓冲器同名(SBUF),共用一个地址号(99H),发送缓冲器只能写入,不能读出,接收缓冲器只能读出,不能写入。 要发送的字节数据直接写入发送缓冲器,SBUF=a;当UART接收到数据后,CPU从接收缓冲器中读取数据,a=SBUF;串行接口内部有两个移位寄存器,一个用于串行发送,一个用于串行接收。定时器T1作为波特率发生器,波特率发生器的溢出信号做接收或发送移位寄存器的移位时钟。TI和RI分别发送完数据和接收完数据的中断标志,用来向CPU发中断请求。 三、实验内容 1、学会DPFlash软件的操作与使用,以及内部内嵌的一个串口调试软件的使用。 2、用串口连接PC机和DP-51PROC单片机综合仿真实验仪。 3、编写一个程序,利用单片机的串行口发送0x55,波特率为9600。 程序设计流程图

4、程序下载运行后,可在PC机上的串口调试软件上(内嵌在DPFlash软件的串口调 试器,设置通信口为COM1口,波特率为9600,数据位8,停止位1)看到接收到“UUUUUU……”,出现这样的结果就基本达到要求。 (1)代码: #include void main() { long int i; SCON=0x40; PCON=0; TMOD=0x20; TH1=0xfd; TL1=0xfd; TI=1; TR1=1; star:for(i=0;i<5000;i++); SBUF=0x55; goto star; } (2)电路图; 5、在单片机接收到0x55时返回一个0x41,在PC机一端,以接收到0x41完成,波特率2400。

实验七 UART串口通信

实验七UART串口通信 一、实验目的 1.能够理解UART串口通信的基本原理和通信过程。 2.学会通过配置寄存器,实现串口通信的基本操作过程。 二、实验内容 通过对单片机编程来实现UART串口通信的基本过程,通过串口调试助手发送数据到单片机,并在数码管上显示出来。 三、实验参考原理 3.1 串行通信的初步认识 通信按照基本类型可以分为并行通信和串行通信。并行通信时数据的各个位同时传送,可以实现字节为单位通信,但是因为通信线多占用资源多,成本高。比如我们前边用到的 P0 = 0xfe;一次给P0的8个IO口分别赋值,同时进行信号输出,类似于有8个车道同时可以过去8辆车一样,这种形式就是并行的,我们习惯上还称P0、P1、P2和P3为51单片机的4组并行总线。 而串行通信,就如同一条车道,一次只能一辆车过去,如果一个0xfe这样一个字节的数据要传输过去的话,假如低位在前高位在后,那发送方式就是0-1-1-1-1-1-1-1-1,一位一位的发送出去的,要发送8次才能发送完一个字节。 在STC89C52上,有两个引脚,是专门用来做UART串口通信的,一个是P3.0一个是P3.1,还分别有另外的名字叫做RXD和TXD,这两个引脚是专门用来进行UART通信的,如果我们两个单片机进行UART串口通信的话,那基本的演示图如图3-1所示。 图3-1 单片机之间UART通信示意图 图中,GND表示单片机系统电源的参考地,TXD是串行发送引脚,RXD是串行接收引脚。两个单片机之间要通信,首先电源基准得一样,所以我们要把两个单片机的GND相互连起来,然后单片机1的TXD引脚接到单片机2的RXD引脚上,即此路为单片机1发送而单片机2接收的通道,单片机1的RXD引脚接到单片机2的TXD引脚上,即此路为单片机2发送而单片机2接收的通道。这个示意图就体现了两个单片机各自收发信息的过程。 当单片机1想给单片机2发送数据时,比如发送一个0xE4这个数据,用二进制形式表示就是0b11100100,在UART通信过程中,是低位先发,高位后发的原则,那么就让TXD 首先拉低电平,持续一段时间,发送一位0,然后继续拉低,再持续一段时间,又发送了一位0,然后拉高电平,持续一段时间,发了一位1......一直到把8位二进制数字0b11100100

zigbee串口实验

/***************************************** //by 虚幻代码 //名称:UART0收发字符串 //说明:从PC上通过串口调试助手发送字符串给目标板,并以“#”结束。//目标板再将收到的信息通过串口回传给PC.实验使用UART0,波特率为19200 *****************************************/ /*引用********************************************/ #include #include /*宏定义*****************************************/ #define uint unsigned int #define uchar unsigned char #define rled P1_0 #define gled P1_1 /*函数声明和定义*******************************/ void inituart0(void); void uarttx_send_string(uchar *data,int len); //初始化串口0函数 void inituart0(void) { CLKCONCMD &=0XBF;//系统时钟为32MHZ while(CLKCONSTA & 0X40);//等待时钟稳定 CLKCONCMD &=0XF8;//主时钟频率为32MHZ PERCFG &=0XFE;//设USART0的ALT 1 P0SEL |=0X3C;//P0口2、3、4、5做外设 P2DIR &=0X3F;//P0外设优先级USART0最高 U0CSR |=0X80;//设USART0 工作方式为UART U0GCR |=9; U0BAUD |=59;//设波特率 UTX0IF=0;//UART0 TX中断标志位清0 } //串口发送字符串函数 void uarttx_send_string(uchar *data,int len) { int j; for(j=0;j

FREESCALE_K20_UART串口实验报告

UART串口实验报告 【实验目的】 1、学习异步串行通信原理,理解字符与字符码的关系; 2、学习Kinetis微控制器的UART模块。 【实验任务】 1、学习和运行Task1,掌握UART模块的编程方法; 2、完成Task2和Task3. 【实验内容】 1、(TASK 1)让单片机给计算机串口发送完整ASCII码表,每16个字符换行。 1.1ASCII码表 ASCII(American Standard Code for Information Interchange,美国标准信息交换代码)是基于拉丁字母的一套电脑编码系统,主要用于显示现代英语和其他西欧语言,ASCII码以0x00~0x7F这128个8 bit的数表示常用的英文、数字和符号。它是现今最通用的单字节编码系统,并等同于国际标准ISO/IEC 646。 根据图1,发送完整的ASCII码表,实际上是需要从串口发送0x00到0x7F这128个数,数据类型是C语言的unsigned char。 1.2单片机的初始化设置 初始化SCI串口的波特率为9600。查阅芯片手册《K20P120M100SF2RM.pdf》可知,需要配置UART0_BDH、UART0_BDL和UART0_C4的BRFA位。设置波特率时,需要同时写入UART0_BDH和UART0_BDL。因为写入BDH之后把数值放在临时数据存储区里直到BDL写入才把数据放入寄存器。

图1 ASCII码表[1] 图2 芯片手册关于波特率设置(UART0_BDH、UART0_BDL)的说明波特率的值,遵循如下的计算公式:

图3 芯片手册关于波特率设置(UART0_C4)的说明UART module clock总线时钟为System clock,System clock在上电后默认是DCO时钟经过FLL倍频之后输出的MCGOUTCLK,MCGOUTCLK默认为20.97MHz,所以UART module clock默认为20.97MHz。由上面公式可得BRFD 值,而BRFA[4:0]=32*BRFD,由此可得出UART0_C4中BRFA[4:0]的值。要得到9600的波特率(UART baud rate),显然计算可得寄存器的设置值SBR应为0x85,BRFA=0x00。对应写成C语言语句就是: 初始化UART0串口启用发送接收器。查阅芯片手册,找到UARTx_C2寄存器的说明如下: 图4 芯片手册关于UARTx_C2的说明

单片机串口通信实验报告

信息工程学院实验报告 课程名称:单片机原理及接口 实验项目名称:串口通信实验实验时间:2017、5 一、实验目得: 1.了解什么就是串口,串口得作用等。 2、了解串口通信得相关概念 3、利用keil软件,熟悉并掌握中串口通信得使用 4、通过实验,熟悉串口通信程序得格式,串口通信得应用等 二、实验原理 1、串口通信概念: 单片机应用与数据采集或工业控制时,往往作为前端机安装在工业现场,远离主机,现场数据采用串行通信方式发往主机进行处理,以降低通信成本,提高通信可靠性。如下图所示。 2、串口数据通信方式及特点 ★数据通信方式有两种:并行通信与串行通信 ★并行通信: 所传送数据得各位同时发送或接收, ?数据有多少位就需要多少根数据线。 特点: 速度快,成本高,适合近距离传输 如计算机并口,打印机,8255 。 ★串行通信:所传送数据得各位按顺序一位一位 地发送或接收。 只需一根数据,一根地线,共2 根 特点:成本低,硬件方便,适合远距离通信, 传输速度低。 串行通信与并行通信示意图如下: 成绩: 指导老师(签名):

3、串行通信基本格式 ①单工通信:数据只能单向传送。 ②半双工通信:通信就是双向得,但每一时刻,数据流通得方向就是单向得。 ③全双工通信:允许数据同时在两个方向流动,即通信双方得数据发送与接收就是同时进行得。 4、异步串行通信/同步串行通信 ①异步串行通信: 异步串行通信采用如下得帧结构: 起始位+ 8位数据位+ 停止位或起始位+ 9位数据位+停止位 其中:起始位为低电平,停止位为高电平。 优点:硬件结构简单 缺点:传输速度慢 ②同步串行通信: 在同步通信中,发送方在数据或字符开始处就用同步字符(常约定1~2个字节)指示一帧得开始,由时钟来实现发送端与接收端同步,接收方一旦检测到与规定得同步字符符合,下面就连续按顺序传送若干个数据,最后发校验字节。见下图: 5、串行通信过程与UART 基本得计算机异步串行通信系统中,两台计算机之间通过三根信号线TxD、RxD与GND连接起来,TxD与GND构成发送线路,RxD与GND构成接收线路。一台计算机得TxD、RxD线分别与另一台计算机得RxD、TxD线相连。 由于在串行通信过程中得并串转换、串并转换、线路检测、采样判决、组帧、 拆帧、发送与接收等操作需消耗CPU大量时间,以至CPU无法处理其它工 作,因而开发出专用于处理异步串行通信发送与接收工作得芯片UART(通用 异步串行通信接收发送器)。 CPU只需将要发送得一个字节数据交给UART,其它发送工作由UART自动完成,当UART将一帧数据发送完毕,会通知CPU 已发送完,可提交下一个字节。 UART自动监测线路状态并完成数据接收工作,当接收到一个字节数据后,UART会通知CPU来读取。采用UART 后,CPU得负担大大减轻了。

相关文档
最新文档