(完整版)基于FPGA的频率测量仪的设计_毕业设计

(完整版)基于FPGA的频率测量仪的设计_毕业设计
(完整版)基于FPGA的频率测量仪的设计_毕业设计

毕业设计(论文)

设计(论文)题目:基于FPGA的频率测量仪的设计

目录

摘要 ....................................................................................... 错误!未定义书签Abstract .................................................................................. 错误!未定义书签

1 绪论 .................................................................................................................

2 相关技术综述...................................................................................................

2.1FPGA (3)

2.2

VHDL ………………………………………………………………

(3)

2.3

EDA (4)

2.4

QuartusⅡ....................................................................... . (4)

3 系统整体设计...................................................................................................

3.1设计要求…………………………………………………………................

7

3.2系统设计原理 (7)

3.3系统单元模块划分 (8)

4 系统各个模块设计及仿真...............................................................................

4.1系统各个模块 (9)

4.1.1 分频器模块设计及仿真.....................................................................

4.1.2 闸门选择器模块设计及仿真.............................................................

4.1.3 门控电路模块设计及仿真.................................................................

4.1.4 计数器模块设计及仿真.....................................................................

4.1.5 锁存器模块设计及仿真.....................................................................

4.1.6 译码显示器模块设计及仿真.............................................................

4.2 顶层文件....................................................................................................

4.2.1顶层文件原理图 (20)

4.2.2顶层文件仿真图 (21)

结论 .....................................................................................................................参考文献 ...............................................................................................................附

录 (24)

致谢 (39)

基于FPGA的频率测量仪的设计

摘要

本文介绍了基于FPGA的数字频率计的设计方法。设计采用硬件描述语言VHDL进行编程,并在软件平台QuartusⅡ7.2上完成部分功能,让该频率计可以在较高的时钟频率下正常工作。该数字频率计采用测频的方法能基本测量1Hz到10MHz之间的信号。我在设计时设计类六个模块,执行不同的功能,然后利用这六个模块,综合成一顶层文件,来实现频率计的功能设计,并且使用仿真软件QuartusⅡ7.2对各个模块的VHDL程序和顶层做了仿真。

关键词: VHDL,数字频率计,FPGA ,QuartusⅡ7.2

Design of frequency measuring instrument

based on FPGA

Abstract

It is introduced in this paper that the design method of digital frequency meter based on FPGA , which use hardware description language-VHDL in software development platform QuartusⅡ7.2 and word in relatively high-speed clock .The frequency meter uses the method of frequency measurement ,which could accurately measure the frequency of signals from 1Hz to 10MHz. I design six modules in the design, perform different functions ,Then using these six modules, integrated into a top-level files, to realize the function of the design frequency, This system uses the simulation tool QuartusⅡ7.2 to run and debug the VHDL program.

Keywords:VHDL, Frequency measurement ,digital frequency meter,FPGA ,QuartusⅡ7.2

1 绪论

1.1研究背景:

频率测量仪是一种应用非常广泛的电子测量仪器,近年来随着科技发展频率测量仪被广泛应用于各个领域。频率测量仪是一种基础测量仪器,目前已有30年的历史。人们衡量频率测量仪的标准是测量的范围以及测量的精度。如今技术发展飞快,基本技术已经应用完善,应用现代技术我们可以轻松扩展频率测量仪的测频上限。

对于电子技术来说,虽然我国发展迅速,但就整体来讲我国与西方国家的差距还是很大的,我们必须更加重视这个现状,努力学习和研究电子技术,学习国外先进文化,才有可能超越他们成为科技强国。

1.2研究现状:

随着科学技术的发展,我们对频率测量仪的要求也越来越高。现在频率测量仪使用操作方便,量程宽,可靠性能高,价格低,分辨率高,精度高,稳定度高,测量速率高;除通常通用计数器所具有的功能外,还有数据处理功能,时域分析功能,电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正实现这些目标,还有许多工作要做,而不是表面看来似乎发展到头了。

由于计算机技术的发展,频率测量仪设计技术也不断地进步,灵敏度不断提高,频率范围不断扩大,功能不断地增加。为了能正确地测量不同类型的信号,必须了解待测信号特性以及各种频率测量仪器的性能和优缺点。

1.3发展趋势:

数字电路技术的进步,使系统设计人员可以在更小的空间实现更多的

功能,提高了系统可靠性和速度。如今,频率测量仪已经不单是测量信号频率的装置了,还可以用它测量方波脉冲的脉宽。在人们的生活中频率计也发挥着越来越重要的作用。在以后的生活中它将更广泛的用于各个领域,而且更加精确测量范围更广。

顺应电子技术的发展趋势,可编程逻辑器件和EDA 技术使设计方法发生了质的变化。把以前“电路设计+硬件搭试+调试焊接”转化为“功能设计+软件模拟+仿真下载”。利用EDA 开发工具,采用可编程逻辑器件CPLD/FPGA 使硬件的功能可通过编程来实现,这种新的基于芯片的设计方法能够使设计者有更多机会充分发挥创造性思维,实现多种复杂数字逻辑系统的功能,将原来由电路板设计完成的工作放到芯片的设计中进行,减少了连线和体积,提高了集成度,降低了干扰,大大减轻了电路设计和PCB设计的工作量和难度,增强了设计的灵活性,有效地提高了工作效率,增加了系统的可靠性和稳定性,提高了技术指标。

近年来随着科技的飞速发展,FPGA的应用正在不断地走向深入,在很多领域中FPGA往往是作为一个核心来使用。而且FPGA的应用也越来越频繁越来越方便。

1.4研究目的和意义

目的:我们要能熟练运用FPGA技术、VHDL编程语言以及电路电子知识,设计出综合的数字系统,进一步理解电子设计自动化——EDA技术。并且能够利用VHDL语言,在QuartusII开发环境中编程、调试、仿真、并能将程序下载到FPGA中,并结合辅助电路,来完成频率测量仪的设计。

通过本课题的设计,能够培养我们综合运用知识的能力,实践应用的能力,分析问题与解决问题的能力,激发我们的创新精神。

意义:频率测量仪是计算机、广播等通讯设备、音频视频等科研生产领域不可缺少的测量仪器。因此,频率的测量以及测量的精度是否高就显

得更为重要。在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得尤为重要。测量频率的方法有多种,其中频率测量仪具有使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。如今频率测量仪在电子测量、航海、军事、探测、等众多领域都得到了应用。

1.5研究内容和要求

1. 编程时用硬件描述语言VHDL语言来实现频率测量仪功能,使其能计算出某一段时间内的待测信号的脉冲个数,并且能够通过数码管显示出来。

2. 之后在QuartusII7.2中进行VHDL程序的编译调试、功能仿真与时序仿真,来验证频率测量仪逻辑功能的正确性。在仿真通过后

2 相关技术综述

2.1 FPGA(可编程逻辑器件)

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物[8]。我们认为,基于EDA技术的FPGA/CPLD器件的开发应用可以从根本上解决MCU所遇到的问题。与MCU相比,FPGA/CPLD的优势是多方面的和根本性的:

(1).编程方式简便、先进。FPGA产品越来越多地采用了先进的

IEEE1149.1边界扫描测试(BST)技术(由联合测试行动小组,JTAG开发)和ISP(在系统配置编程方式)。在+5 V工作电平下可随时对正在工作的系统上的FPGA进行全部或部分地在系统编程,并可进行所谓菊花链式多芯片串行编程,对于SRAM结构的FPGA,其下载编程次数几乎没有限制(如Altera公司的FLEXIOK系列)。这种编程方式可轻易地实现红外编程、超声编程或无线编程,或通过电话线远程在线编程。这些功能在工控、智能仪器仪表、通讯和军事上有特殊用途。

(2).高速。FPGA的时钟延迟可达纳秒级,结合其并行工作方式,在超高速应用领域和实时测控方面有非常广阔的应用前景。

(3).高可靠性。在高可靠应用领域,MCU的缺憾为FPGA的应用留下了很大的用武之地。除了不存在MCU所特有的复位不可靠与PC可能跑飞等固有缺陷外,FPGA的高可靠性还表现在几乎可将整个系统下载于同一芯片中,从而大大缩小了体积,易于管理和屏蔽。

(4).开发工具和设计语言标准化,开发周期短。由于FPGA/CPLD的集成规模非常大,集成度可达数百万门[14]。因此,FPGA的设计开发必须利用功能强大的EDA工具,通过符合国际标准的硬件描述语言(如VHDL

或Verilog-HDL)来进行电子系统设计和产品开发。由于开发工具的通用性、设计语言的标准化以及设计过程几乎与所用的FPGA器件的硬件结构没有关系,所以设计成功的各类逻辑功能块软件有很好的兼容性和可移植性,它几乎可用于任何型号的FPGA中,由此还可以以知识产权的方式得到确认,并被注册成为所谓的IP芯核,从而使得片上系统的产品设计效率大幅度提高。由于相应的EDA软件功能完善而强大,仿真方式便捷而实时,开发过程形象而直观,兼之硬件因素涉及甚少,因此可以在很短时间内完成十分复杂的系统设计,这正是产品快速进入市场的最宝贵的特征。美国TI公司认为,一个ASIC 80 %的功能可用IP芯核等现成逻辑合成。EDA专家预言,未来的大系统的FPGA 设计仅仅是各类再应用逻辑与IP 芯核的拼装,其设计周期最少仅数分钟。

(5).功能强大,应用广阔。目前,FPGA可供选择范围很大,可根据不同的应用选用不同容量的芯片。利用它们可实现几乎任何形式的数字电路或数字系统的设计。随着这类器件的广泛应用和成本的大幅度下降,FPGA在系统中的直接应用率正直逼ASIC的开发。

同时,FPGA设计方法也有其局限性。这主要体现在以下几点:

(1).FPGA设计软件一般需要对电路进行逻辑综合优化(Logic Synthesis & Optimization),以得到易于实现的结果,因此,最终设计和原始设计之间在逻辑实现和时延方面具有一定的差异。从而使传统设计方法中经常采用的一些电路形式(特别是一些异步时序电路)在FPGA/CPLD设计方法中并不适用。这就要求设计人员更加了解FPGA/CPLD设计软件的特点,才能得到优化的设计。

(2).FPGA一般采用查找表(LUT)结构(Xilinx), AND-OR结构(Altera)或多路选择器结构(Actel),这些结构的优点是可编程性,缺点是时延过大,造成原始设计中同步信号之间发生时序偏移。同时,如果电路较大,需要

经过划分才能实现,由于引出端的延迟时间,更加大了延迟时间和时序偏移。时延问题是ASIC设计当中常见的问题,要精确地控制电路的时延是非常困难的,特别是在像FPGA/CPLD这样的可编程逻辑当中。

(3).FPGA的容量和I/O数目都是有限的,因此,一个较大的电路必须经过逻辑划分((Logic Partition)才能用多个FPGA芯片实现,划分算法的优劣直接影响设计的性能。

(4).由于目标系统的PCB板的修改代价很高,用户一般希望能够在固定引出端分配的前提下对电路进行修改。但在芯片利用率提高,或者芯片I/O引出端很多的情况下,微小的修改往往会降低芯片的布通率。

(5).早期的FPGA芯片不能实现内存、模拟电路等一些特殊形式的电路。最新的一些FPGA产品集成了通用的RAM结构。但这种结构要么利用率不高,要么不完全符合设计者的需要。这种矛盾来自于FPGA本身的结构局限性,短期内很难得到很好的解决。

(6).尽管FPGA实现了ASIC设计的硬件仿真,但是由于FPGA和门阵列、标准单元等传统ASIC形式的延时特性不尽相同,在将FPGA设计转向其它ASIC设计时,仍然存在由于延时不匹配造成设计失败的可能性。针对这个问题,国际上出现了用FPGA数组对ASIC进行硬件仿真的系统(如Quickturn公司的硬件仿真系统)。这种专用的硬件仿真系统利用软硬件结合的方法,用FPGA数组实现了ASIC快速原型,接入系统进行测试。该系统可以接受指定的测试点,在FPGA数组中可以直接观测(就像软件模拟中一样),所以大大提高了仿真的准确性和效率。

2.2VHDL(硬件描述语言)

VHDL是硬件描述语言的一种,对系统硬件的描述功能很强而语法又比较简单。因为VHDL具有强大的行为描述能力,使得设计者可以不懂硬件的结构,只需进行电子系统的设计和性能优化[3];方便逻辑仿真与调试。

目前,VHDL作为IEEE的工业标准硬件描述语言,得到众多EDA公司的支持,在电子工程领域已经成为事实上通用硬件描述语言。

VHDL语言与其它HDL语言相比有一些自己的特色,下面作一简要说明。

(1)设计功能强、方法灵活、支持广泛。VDHL语言可以支持自上而下

( Top_Down)的设计方法,它具有功能强大的语言结构,可用简洁明确的代码描述来进行复杂控制逻辑的设计,可以支持同步电路、异步电路、以及其它随机电路的设计[5]。其范围之广是其它HDL语言所不能比拟的。此外,VHDL语言可以自定义数据类型,这也给编程人员带来了较大的自由和方便。

(2)系统硬件描述能力强。VHDL语言具有多层次的设计描述功能,可以从系统的数学模型直到门级电路,支持设计库和可重复使用的组件生成,它支持阶层设计且提供模块设计的创建[10]。VHDL语言能进行系统级的硬件描述是它的一个最突出的优点。

(3)可以进行与工艺无关编程。VHDL语言设计系统硬件时,没有嵌入描述与工艺相关的信息,不会因为工艺变化而使描述过时。与工艺技术有关的参数可通过VHDL提供的类属加以描述,工艺改变时,只需修改相应程序中的类属参数即可。

(4)VHDL语言标准、规范,易于共享和复用[12]。VHDL既是IEEE承认的标准,故VHDL的设计描述可以被不同的EDA设计工具所支持。从一个仿真工具移植到另一个仿真工具,从一个综合工具移植到另一个综合工具,从一个工作平台移植到另一个工作平台去执行。这意味着同一个VHDL设计描述可以在不同的设计项目中采用,方便了设计成果的设计和交流。另外,VHDL语言的语法比较规范,从而其可读性比较好,给阅读和使用都带来了极大的好处。

(5)方便ASIC移植。VHDL语言的效率之一,就是如果你的设计是被综合到一个CPLD或FPGA的话,则可以使你设计的产品以最快速度上市。当产品的产量达到相当的数量时,采用VHDL进行的设计可以很容易转成用专用集成电路(ASIC)来实现,仅仅需要更换不同的库重新进行综合。由于VHDL是一个成熟的定义型语言,可以确保ASIC厂商交付优良质量的器件产品。此外,由于工艺技术的进步,需要采用更先进的工艺时,仍可以采用原来的VHDL代码。

2.3 EDA技术

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的[4]。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

EDA技术是以计算机为工具完成数字系统的逻辑综合、布局布线和设计仿真等工作。电路设计者只需要完成对系统功能的描述,就可以由计算机软件进行系统处理,最后得到设计结果,并且修改设计方案如同修改软件一样方便。利用EDA工具可以极大地提高设计效率[15]。利用硬件描述语言编程来表示逻辑器件及系统硬件的功能和行为,是EDA设计方法的一个重要特征。EDA技术在现在使用时越来越广泛,学习EDA也是刻不容缓。

2.4 Quartus II

QuartusII是Altera提供的FPGA/CPLD开发集成环境,Altera是世

界上最大的可编程逻辑器件供应商之一。QuartusII在21世纪初推出,是Altera前一代FPGA/CPLD集成开发环境MAX+PLUSII的更新换代产品,其界面友好,使用便捷。它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。

Altera的QuartusII提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统(SOPC)设计的综合性环境和SOPC 开发的基本设计工具,并为Altera DSP开发包进行系统模型设计提供了集成组合环境。QuartusII设计工具完全支持VHDL、Verilog的设计流程,其内部嵌有VHDL、Verilog逻辑综合器。QuartusII也可利用第三方的综合工具。同样,QuartusII具备仿真功能,同时也支持第三方的仿真工具,如ModelSim。此外,QuartusII与MATLAB和DSP Builder结合,可以进行基于FPGA的DSP系统开发和数字通信模块的开发。

QuartusII包括模块化的编译器。编译器包括的功能模块有分析/综合器(Analsis & Synthesis)、适配器(Fitter)、装配器(Assembler)、时序分析器(Timing Analyzer)、设计辅助模块(Design Assistant)、EDA网表文件生成器(EDA Netlist Writer)、编辑数据接口(Compiler Database Interface)等。可以通过选择Start Compilation来运行所有的编译器模块,也可以通过选择Start单独运行各个模块。还可以通过选择Compiler Tool (Tools菜单),在Compiler Tool窗口中运行该模块来启动编译器模块。在Compiler Tool窗口中,可以打开该模块的设置文件或报告文件,或打开其他相关窗口。

此外,QuartusII还包含许多十分有用的LPM(Library of Parameterized Modules)模块,它们是复杂或高级系统构建的重要组成部分,在SOPC设计中被大量使用,也可以与QuartusII普通设计文件一起使用。Altera提供的LPM函数均基于Altera器件的结构做了优化设计。

在许多实用情况中,必须使用宏功能模块才可以使用一些Altera特定器件的硬件功能,如各类片上存储器、DSP模块、LVDS驱动器、PLL以及SERDES和DDIO电路模块等。

QuartusII编译器支持的硬件描述语言有VHDL(支持VHDL’87及VHDL’97标准)、Verilog HDL及AHDL(Altera HDL)。

QuartusII支持层次化设计,可以在一个新的编辑输入环境中对使用不同输入设计方式完成的模块(元件)进行调用,从而解决了原理图与HDL混合输入设计的问题。在设计输入之后,QuartusII的编译器将给出设计输入的错误报告。可以使用QuartusII带有的RTL Viewer观察综合后的RTL图。

3 系统整体设计

3.1 系统整体设计要求

1、测试频率范围为:10Hz~100MHz 的方波

2、显示工作方式:a、用六位BCD七段数码管显示读数。

b、能够实现对高位无意义零的消隐。

3.2 系统设计原理

【2】所谓“频率”,其实就是周期性信号在单位时间(1秒)内变化的次数。如果在一定的时间间隔T内计数,计算可得某周期性信号的重复变化次数为N,则该信号的频率可表达为:f = N / T .

基于这一频率测量的原理我们可以使用某一单位时间内对被测信号脉冲频率进行计数的方法来求得对该信号的频率测量,并且要使测量结果尽量精确无误。具体的实现过程简述如下: 首先,我们要将被测信号①(方波)加到闸门的输入端。由一个高稳定的石英振荡器和一系列数字分频器组成了时基信号发生器,它输出时间基准(或频率基准)信号③去控制门控电路形成门控信号④,门控信号的作用时间T是非常准确的(由石英振荡器决定)。门控信号控制闸门的开与闭,只有在闸门开通的时间内,方波脉冲②才能通过闸门成为被计数的脉冲⑤由计数器计数。

闸门开通的时间称为闸门时间,其长度等于门控信号作用时间T。比如,时间基准信号的重复周期为1S,加到闸门的门控信号作用时间T亦准确地等于1S,即闸门的开通时间——“闸门时间”为1S。在这一段时间内,如果计数器计得N=100000个数,根据公式f = N / T,那么被测频率就是100000Hz。如果计数式频率计的显示器单位为“KHz”,则显示100.000KHz,即小数点定位在第三位。不难设想,若将闸门时间设为

T=0.1S,则计数值为10000,这时,显示器的小数点只要根据闸门时间T 的改变也随之自动往右移动一位(自动定位),那么,显示的结果为100.00Khz。在计数式数字频率计中,通过选择不同的闸门时间,可以改变频率计的测量范围和测量精度。

这就是我的数字频率计的设计原理。原来框图如下图所示。

3.3 系统单元模块划分

1)分频器,分频器用于较高频率的时钟进行分频操作,得到较低频率的信号在该模块中我们要将输入信号分别分频到4个不同的频率段。即将产生用于计数控制的时钟分别为1HZ,10HZ,100HZ脉冲和1KHZ的用于七段显示数码管扫描显示的扫描信号。这是整个设计的基础模块,我们必须要完成这个模块的设计。

2)闸门选择器,当上一个模块的信号输入后,用于选择不同的闸门时间以及产生后续的小数点的显示位置。这个模块的设计可以将该频率测量仪分成几个测量档,对不同频率范围的频率能够进行更精确的测量,使测量结果更加准确。

3)门控电路,该模块可以产生用于计数的使能控制信号,清零信号以及锁存器锁存信号。该模块与计数器模块和锁存器模块共同作用后,可以产生清零,计数,锁存等功能。这个模块的成功运行也对整个设计起着至关重要的作用。如果这个模块设计不好或出错,那么频率的测量就会产生很大的误差。

4)计数器,该模块能够用于对输入的待测信号进行脉冲计数,使其能够将所测得的脉冲计数正确的输出。这是整个设计中必不可少的环节。

5)锁存器,该模块能够用于对计数器输出数据的锁存,便于后续译码显示电路的对数据进行记忆显示,同时避免计数器清零信号对数据产生影响。对整个模块的设计也是非常重要。设计顶层模块时要将它与计数器模

(完整版)FPGA温度测量设计毕业设计

毕业论文FPGA温度测量设计

摘要 温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。 本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL (HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。 关键词:FPGA;DS18B20;测温;Verilog HDL语言

Design of temperature measurement based on FPGA Abstract Tenperture is one of the most basic environmental parameters, and it industry, agriculture, national defense,medical and other fields, temperature measurement and control was widely used.The temperature measuring instrument is a kind of common testing instrument. In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated Language)programming language,accomplished the function of temperature measurement. Given the .The device . Key Words: FPGA;DS18B20;Temperature measurement;Verilog HDL language 目录 中文摘要 (1) 英文摘要 (2) 1绪论 (1) 1.1题目背景意义 (1) 1.2工作内容 (2)

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

工程测量毕业设计

存档号:学号: 石家庄铁路职业技术学院 毕业设计 分析水准测量的误差的来源及控制方法---以山西省某高速公路一期工程TJ4-4标段为例 系部: 测绘工程系 专业名称: 工程测量 指导教师Ⅰ: 姓名:

二0一二年十二月 诚信承诺 本人慎重承诺和声明: 我承诺在毕业论文(设计)活动中遵守学校有关规定,恪守学术规范,在本人的毕业论文中未剽窃、抄袭他人的学术观点、思想和成果,未篡改研究数据,如有违规行为发生,我愿承担一切责任,接受学校的处理。 承诺人: 2012年 12 月 5

毕业设计(论文)评定表

毕业设计(论文)任务书

摘要 本次作业以山西省某高速公路一期工程TJ4-4标段控制点SB43至SB48的三等水准测量为实例,阐述了水准测量的基本原理及其水准测量的方法与水准路线。总结了在水准测量过程当中遇到的问题,并对山西省测量误差进行了详细的分析,指出了在测量过程中容易忽略的细节从而导致测量成果不符合要求的问题,进一步改进了在水准测量过程中发现的这些问题,最终得到满足要求的测量结果。 关键词:水准测量水准仪高程误差

目录 第1章绪论 (1) 1.1论文的背景和意义 (1) 1.2论文的主要内容 (1) 第2章水准测量的基本原理和方法 (2) 2.1 水准测量的基本原理 (2) 2.2 水准测量方法与水准路线 (3) 第3章勘察设计过程中水准测量的问题及控制方法 (5) 3.1 水准测量的现状 (5) 3.2水准测量中出现的问题 (5) 3.3仪器误差(系统误差)及控制方法 (8) 3.3.1 视准轴不平行水准管轴产生的误差及控制方法 (8) 3.3.2水准尺误差及控制方法 (9) 3.4观测误差(偶然误差)和控制方法 (9) 3.4.1符合水准管气泡居中误差及控制方法 (9) 3.4.2调焦误差和视差的影响及控制方法 (10) 3.4.3水准尺的倾斜误差及控制方法 (10) 3.5 外界条件(偶然误差)影响和控制方法 (11) 3.5.1 地球球气差和日照风力引起的误差及控制方法 (11) 3.5.2 仪器升降和水准尺下沉的影响 (12) 3.6水准测量时应注意的事项 (14) 第4章结论 (15) 参考文献 (16)

单片机毕业设计完整版

安徽工业大学继续学院《单片机原理》期末课程设计 题目:单片机计时时钟设计与制作 专业:电气工程及其自动化 班级:14 电升 姓名:夏云飞 学号:1410102003035 指导老师:贺容波 成绩: ( 2015.12 )

目录 一、绪论 (1) 1.1单片机简介 (1) 二、硬件系统设计方案 (3) 2.1 时钟电路的设计 (3) 2.2复位电路的设计 (4) 2.3 数码显示电路的设计 (5) 2.4按键电路的设计 (7) 2.5 蜂鸣器电路的设计 (8) 2.6接线图 (9) 三、软件系统设计方案 3.1 模块化设计方案 (10) 3.2 主程序的设计 (11) 3.3 LED动态显示程序的设计 (14) 3.4 计时程序模块的设计 (17) 3.5 键盘程序的设计 (19) 3.6 蜂鸣器程序的设计 (22) 3.7整个程序 (23) 四、总结 总结与致谢 (28) 参考文献 (29) 使用说明 (29)

安徽工业大学继续教育学院《单片机原理》期末课程设计——单片机计时时钟设计与制作 一绪论 1.1单片机简介 1.1.1单片机的产生 计算机的发展经历了从电子管到大规模集成电路等几个发展阶段,随着大规模集成电路技术的发展,使计算机向性能稳定可靠、微型化、廉价方向发展,从而出现了单片微型计算机。 所谓单片微型计算机,是指将组成微型计算机的基本功能部件,如中央处理器CPU、存储器ROM和RAM、输入/输出(I/O)接口电路等集成在一块集成电路芯片上的微型计算机,简称单片机。总体来讲,单片机可以用以下“表达式”来表示:单片机=CPU+ROM+RAM+I/O+功能部件 1.1.2单片机的特点 随着现代科技的发展,单片机的集成度越来越高,CPU的位数也越来越高,已能将所有主要部件都集成在一块芯片上,使其应用模式多、范围广,并具有以下特点: ①体积小,功耗低,价格便宜,重量轻,易于产品化。 ②控制功能强,运行速度快,能针对性地解决从简单到复杂的各类控制问题,满足工业控制要求,并有很强的位处理和接口逻辑操作等多种功能。 ③抗干扰能力强,适用温度范围宽。由于许多功能部件集成在芯片内部,受外界影响小,故可靠性高。 ④虽然单片机内存储器的容量不可能很大,但存储器和I/O接口都易于扩展。 ⑤可以方便的实现多机和分布式控制。 1.1.3单片机的应用 单片机的应用具有面广量大的特点,目前它广泛的应用于国民经济各个领域,对技术改造和产品的更新起着重要作用。主要表现在以下几个方面: ①单片机在智能化仪器、仪表中的应用:由于单片机有计算机的功能,它不仅能完成测量,还既有数据处理、温度控制等功能,易于实现仪器、仪表的数字化和智能化。 ②单片机在实时控制中的应用:单片机可以用于各种不太复杂的实时控制系统中, 第1页

工程测量毕业论文设计

包头铁道职业技术学院 毕业论文 学生姓名:孙文磊 年级:2011 专业:工程测量技术 指导教师:高润喜 完成日期:2014年5月1日 第一章绪论 第二章工程测量的测量仪器 第三章隧洞地面和地下高程控制网略图 第四章隧洞地面和地下平面控制测量设计说明 4.1 确定遂洞地面和地下平面控制网的等级进行遂洞横向贯通误差的预计4.2 地面和地下平面控制测量等级的各种技术要求 4.2.1 地面控制测量的等级标志形状和尺寸的设计 4.2.2 平面控制测量所用的仪器

第五章隧洞地面和地下高程控制测量设计说明 5.1 地上高程控制测量误差引起的竖向贯通误差≤15mm 5.1.1 竖向贯通误差的预算 5.2 地面和地下高程控制测量的等级的各种技术要求 5.2.1 高程控制点的标志设计 5.2.2 确定所使用的仪器和工具 5.2.3 高程控制测量的外业观测方法、各项限差及内业计算的计算要求5.2.4 外业成果的整理与平差计算 第六章隧洞施工放样方法、精度的设计说明 6.1 洞外中心线的测设方法及要求的设计 6.2 隧洞中心控制桩外的设计 6.3 洞内施工导线、基本导线、主要导线的精度、测量方法设计6.4 隧洞内高程控制点测量方法、精度要求 6.5 隧洞进出口点的设计高程、个100 整数桩的设计高程 6.6 隧洞施工面的放样方法

6.7 纵、横和竖向贯通误差的测定方法 第七章总结 第一章 东山隧洞施工测量工程位于维州市东山镇西南方向,其东南方向是东山小学,离东山镇约2km ,离东山小学约1.5km ,距其不远有一条穿过东山镇的南北公路。公路对隧洞的施工提供了比较方便的交通路线。 隧洞全长为3156m ,穿过东山山头,东山山头的高程H=198.236m 。隧洞进口的设计高程HA=78.000m ,隧洞的设计坡降为0.3% 。 第二章本工程测量单位所拥有的测量仪器为 (1)全站仪,测程3km ,测距精度:±(2mm +2ppm · D ) 测角精度:± 2 ″ (2)DS3 水准仪 (3)30m 钢尺 根据所拥有的仪器及遂洞的地形图采用光电测距导线网作为平面控制网。由东山地形图可知道该地形比较陡,通视条件差,不宜布设多边形的平面控制网,测角网测量的角数比较多降低测量的速度,随着全站仪测距精度的提高,采用边角网的平面控制网可以提高测量的速度同时也可以保证测量的精度。由表 2.1.1 可知道平面控制网的等级可能为三等或四等,而且三、四、五等平面控制网,可以用相应等级的导线网来代替。所以本工程的控制网采用了光电测距导线网。平面控制网见东山地形图。 表 2.1.1 洞外控制网等级选择

毕业设计说明范文(艺术类)

XXX 大 学 (空一格,行距:单倍行距) (空四格,行距:单倍行距) 题 目: 女性时尚高跟鞋系列设计 学 院: 美术学院 专业、年级: 艺术设计专业2007级 3 班(产品造型方向) 姓 名: 学 号: 指 导 教师: 职称: 完 成 时间: 年 月 日

声明 本人声明所呈交的设计作品及论文是本人在指导教师指导下进行的研究工作及取得的研究成果。据我所知,除了文中特别加以标注和致谢的地方外,论文中不包含其他人已经发表或撰写过的研究成果,也不包含为获得成都大学或其他教育机构的学位或证书而使用过的材料。与我一同工作的同志对本研究所做的任何贡献均已在论文中作了明确说明并表示谢意。 本设计及论文成果是本人在成都大学读书期间在指导教师指导下取得的,设计及论文成果归成都大学所有,特此声明。 学生签名: 指导教师签名:

具、装饰物品或日常生活用品都带有色彩。因此要对他们进行统一,使他们能在同一色调上进行细微的变化,达到和谐的效果。色彩的运用会受到人的年龄、性别等各种因素的影响,而人的心理感受及联想与色彩的关系问题也是息息相关的。色彩还能造成不同的空间感,每个房间都不可能单独存在一种色调,不同的区域对色彩的要求也不一样。房间布置时应选择适合的“快乐”色彩,会有助于下班回到家里后松弛紧张的神经,觉客厅、卧室,有时也会因居住者秉性不同而有差异。 (空一格) :色彩;空间;心理;关系

utilization (空一行) room places the furniture, the decoration goods or the daily life thing all have the color. Therefore must carry on the unification to them, enables them to carry on the slight change in the identical tone, achieves the harmonious effect. The color utilization can receive human's age, the sex and so on each kind of factor influence, but human's psychological feeling and the association and the color relational question also is closely linked.The color also can create the different sense of space, each room is all impossible alone to have one kind of tone, the different region is dissimilar to the color request. When room arrangement should choose suitably “joyful” the color, can be helpful after gets off work gets in the home to relax the tense nerve, thought the relaxation is comfortable.The different room function is different, the color should not be same; Is the same function room, like is similarly the living difference differently. (空一格) Color ;Space ;

基于单片机的毕业设计题目

单片机类 业设计 刷电子时钟的设计 刷全自动节水灌溉系统--硬件部 刷数 式温度计的设计 刷温度 控系统设计 刷基于单片机的语音提示测温系统的研究 刷简易无线电遥控系统 刷数 流 计 刷基于单片机的全自动洗衣机 刷水塔智能水 控 系统 刷温度箱模拟控 系统 刷超声波测距仪的设计 刷基于51单片机的L司号点阵显示屏系统的设计与实 16×16点阵显示屏 刷基于A切89分51单片机的数 电子时钟 刷基于单片机的步 电机的控 刷基于单片机的交流调 器设计 刷基于单片机的数 电压表的设计 刷单片机的数 钟设计 刷智能散热器控 器的设计 刷单片机打铃系统设计 刷基于单片机的交通信 灯控 电路设计 刷基于单片机的电话 程控 家用电器系统设计 刷基于单片机的安全 警器 刷基于单片机的 路抢答器设计 刷基于单片机的超声波测距系统的设计 刷基于MC分-51数 温度表的设计 刷电子体温计的设计 刷基于A切89C51的电话 程控 系统 刷基于A三R单片机幅度 调的号号分信 发生器 刷基于单片机的数控稳压电源的设计 刷基于单片机的室内一氧化碳 测及 警系统的研究 刷基于单片机的空调温度控 器设计 刷基于单片机的 编程多 能电子定时器 刷单片机的数 温度计设计 刷红外遥控密码锁的设计 刷基于61单片机的语音识别系统设计 刷家用 燃气体 警器的设计 刷基于数 温度计的多点温度检测系统 刷基于凌 单片机的语音实时采集系统设计 刷基于单片机的数 频率计的设计 刷基于单片机的数 电子钟设计 刷设施 境中温度测 电路设计 刷汽车倒车 撞 警器的设计 刷篮球赛计时记 器

刷基于单片机的家用智能总线式开关设计 刷设施 境中湿度检测电路设计 刷基于单片机的音乐合成器设计 刷设施 境中二氧化碳检测电路设计 刷基于单片机的水温控 系统设计 刷基于单片机的数 温度计的设计 刷基于单片机的火灾 警器 刷基于单片机的红外遥控开关设计 刷基于单片机的电子钟设计 刷基于单片机的红外遥控电子密码锁 刷大棚温湿度自动 控系统 刷基于单片机的电器遥控器的设计 刷单片机的语音 储与 放的研究 刷基于单片机的电 热炉温度控 系统设计 刷红外遥控电源开关 刷基于单片机的 频信 发生器设计 刷基于单片机的呼叫系统的设计 刷基于PIC16F876A单片机的超声波测距仪 刷基于单片机的密码锁设计 刷单片机步 电机转速控 器的设计 刷由A切89C51控 的太 能热水器 刷 盗与恒温系统的设计与 作 刷A切89分52单片机实验系统的开发与 用 刷基于单片机控 的数 气压计的设计与实 刷智能压力传感器系统设计 刷智能定时器 刷基于单片机的智能火灾 警系统 刷基于单片机的电子式转速 程表的设计 刷 交车汉 显示系统 刷单片机数 电压表的设计 刷精密三F转换器与MC分-51单片机的接口技术 刷基于单片机的居室安全 警系统设计 刷基于89C2051 IC卡读/写器的设计 刷PC机与单片机串行通信设计 刷球赛计时计 器设计 刷 系列PCL五层电 控 系统设计 刷自动起闭光控窗帘设计 刷单片机控 交通灯系统设计 刷基于单片机的电子密码锁 刷基于51单片机的多路温度采集控 系统 刷点阵电子显示屏-- 业设计 刷超声波测距仪-- 业设计 刷单片机对玩 小车的智能控 业设计论文 刷基于单片机控 的电机交流调速 业设计论文

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

工程测量毕业论文范文2篇

工程测量毕业论文范文2篇 工程测量毕业论文范文一:建筑工程测量错误与对策 目前,我国建筑工程建设中存在一些问题,严重影响了工程建设和企业效益。其中建筑工程测量工作是工程建设中的重要基础工作,对工程建设具有重要意义。 1建筑工程测量工作中常见的错误 1.1轴线定位错误 轴线定位出现错误将会产生严重的后果,整体建筑物的定位会随之出现偏差,相应的规划布局和前期的设计工作都失去意义,会给建设单位造成巨大的经济损失。 1.2单根桩定位错误 由于桩基础测量定位的过程繁琐,实践当中有很多因素都能够对单根桩定位造成影响,进而产生错误。在施工中经常发生这种错误,对于基础开挖前的单根桩位定位错误通常可以采取补救措施,对于基础开挖后发生的单根桩位定位措施很难补救和处理。 1.3测量放样错误 有很多原因都能够造成测量放样错误,主要包括: (1)没有复核或正确理解红线交点和设计图纸尺寸。没有依据图纸上的建筑尺寸复核所交的红线点,因需根据设计图纸的相关坐标定位红线放样,所以在这个过程中经常出现此类错误。 (2)没有正确理解图纸。连体大型基础工程和建筑物相连接的

工程经常出现图纸理解错误问题。一般建筑设计通常分成几张图纸出图,局部和整体的关系错误经常出现在测量放样的过程中。 (3)标错施工桩位表编号图中的尺寸。设计基础平民图桩位的出图通常有桩基础施工单位编号进行,在当前的cad绘图中经常出现编号图尺寸标错,如果改正不及时施工测量也会发生错误。 (4)现场放样的过程中计算出现错误及尺寸拉错。天气、场地、其他因素都会对桩基基础施工造成影响,因此经常在施工前才开始实时测量定位所定位的桩位,计算错误、尺寸拉错、计算书写错误经常出现。 (5)因计算器、仪器等测量设备造成的错误。实践中一些单位使用的仪器经常存在有误差或者不准的情况,进而造成测量错误。还有一些测量错误是由于计算器没有进行校核、功能设置不当等原因造成的。 2基础工程测量的有效措施 2.1建筑物定位测量 根据设计所给定的条件,在地面上测设建筑物四周外廓主轴线交点,建筑物桩位轴线的据此进行测量,是建筑物定位测量的主要过程。 2.2编制桩位测量放线图和说明书 为了促进桩基础施工测量的顺利进行,工程人员应当根据工程资料在作业前对桩位测量放线图和说明书进行编制。 (1)对定位轴线进行确定。通常将外形整齐、平面呈矩形的建筑物的外廓墙体中心线作为建筑物定位主轴线,这样便于工程人员进行实测操作;外形不规则、平面呈弧形的复杂建筑物的定位主

(完整版)华北理工大学本科毕业设计说明书格式示例

学号:[单击此处键入] 毕业设计说明书 G RADUATE D ESIGN 设计题目:[单击此处键入设计中文题名] —[单击此处继续键入副题名或删除此提示]学生姓名:[单击此处键入] 专业班级:[单击此处键入] 学院:[单击此处键入] 指导教师:[导师姓名][职称]

[副导师姓名][职称]XXXX年XX月XX日

摘要 随着互联网在国际上迅猛的发展,基于互联网的各种应用也日益受到人们的重视,特别是现代远程教育得到了巨大的发展。基于Web的考试系统正是在这种形势下应运而生的。尽管传统的考试形式应用还非常普遍,但伴随着远程教学的推广普及,作为远程教学系统子系统的在线考试系统呼之欲出。 …… (中文摘要约300汉字) 关键词考试系统;自动组卷;题库;遗传算法

Abstract With the rapid development of Internet in the international, more and more web-based applications were increasingly subject to people's attention in recent years, and the modern distance education tremendous development. The web-based examination system is emerged in such a situation. Although the traditional form of examination is also very common, with the expansion and universality of distance learning, the online examination system come into being as a subsystem of distance learning system. Automatic Test Paper is a crucial part in the examination system. With the rapid development of artificial intelligence, this issue a growing number of scientists concerned. Automatic Test Paper is the real follow a strategy of topics, and select a group of questions from the questions, makes them all the properties are within a certain range by volume to meet the expectations index. The core of the problem is multi-target strategy of choice. The design of database is also a very important part in the examination system, and the design of database is good or bad will directly affect the effectiveness of automatic Test Paper. This paper designs and implements an on-line examination system, and its functions include on-line examinations, test management and maintenance, automatic Test Paper, Grade examination papers and grade point, seeing results and information management features such as students etc. It focuses on the automatic Test Paper algorithm and the

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

(完整版)电大《毕业设计论文》参照

齐齐哈尔广播电视大学 毕业设计 题目: 学生所在单位: 姓名:教育层次:本科 学号:专业:土木工程 指导教师:分校:齐齐哈尔电大

本科生毕业设计任务书

摘要 本设计为楼毕业设计,建在区,建筑面积㎡,共层,地震设防烈度为度。 本设计依据设计要求和原始资料,运用力学钢筋混凝土、结构力学基本原理及土力学和对材料性质的深刻了解,遵守设计规则,保证建筑结构合理,所有材料的质量和强度合格,工艺良好。 本建筑设计分为三部分:建筑设计、结构设计、施工组织设计。建筑设计采取积极措施来增强建筑物的外表强度和坚固性,给人以心理上的安全感。另外,还要有艺术的美感,要有时代气息。结构体系是钢筋混凝土框架结构,用砖做墙。结构设计是使结构物得到足够的强度、刚度和韧性的过程。结构体系选择后,进行荷载分析和强度分析,同时考虑与建筑经济学的关系,把材料制做安装所需成本、所用时间,以及结构使用期间的维修联系起来。 施工组织设计:科学的依据分项工程,流水施工的方法,合理安排施顺序,注意安全措施,力求经济效益。 关键词:建筑面积,设防烈度,砖混结构

目录 2.3 2.4 2.5 第一章建筑设计部分 1.1设计依据 1、建设单位提供的小区规划平面图及竖向高程图一份。 2、建设单位提供的设计委托书一份。 3、建设单位提供的该地段岩土勘察报告一份。 4、国家现行的设计规范及有关标准规定。 《住宅设计规范》GB50096—1999 《住宅建筑规范》GB50368—2005 《黑龙江省民用建筑节能设计标准实施细则》DB23\120—2001 《民用建筑热工设计规范》GB50176—93 《民用建筑设计通则》GB50352—2005 《建筑设计防火规范》GB50016—2006 《民用建筑节能设计标准》(采暖居住建筑部分)JGJ26—95 5、本工程施工及验收均需按照国家现行建筑安装工程施工规范及验收规范施 工。 6、节能住宅节点做法及施工工艺要求均按02J—1标准图集设计施工,苯板与 苯板之间,与墙体之间采用塑料涨钉。 1.2工程概况 1.2.1工程特点 1、本工程为

电子类毕业设计题目

盼盼电子设计网本网站承接电子类毕业设计论文一条龙服务!!! 电子毕业设计:12 1.基于FPGA的PCI总线设计 2.基于FPGA的UART接口设计 3.基于单片机的数字电压表 4.单片机控制的全自动洗衣机毕业设计 电梯控制的设计与实现 6.恒温箱单片机控制 7.单片机脉搏测量仪 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 变电所一次系统设计 11.报警门铃设计论文 单片机交通灯控制 13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析 15.仓库温湿度的监测系统 16.基于单片机的电子密码锁 17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现

19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器 22.单片机数字钟设计 23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文 25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计 27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统毕业论文 29.宽带视频放大电路的设计毕业设计 30.简易数字存储示波器设计毕业论文 31.球赛计时计分器毕业设计论文 数字滤波器的设计毕业论文 机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文 35. 基于labVIEW虚拟滤波器的设计与实现序列在扩频通信中的应用 37.正弦信号发生器 38.红外报警器设计与实现 39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文

41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机毕业设计论文 43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计 45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文 47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文 49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文 51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文 53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文 55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文 57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论 59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文 61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文

相关文档
最新文档