半导体术语

半导体术语
半导体术语

A

安全地线safe ground wire

安全特性security feature

安装线hook-up wire

按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set

按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service

按组编码encode by group

B

八木天线Yagi antenna

白噪声white Gaussian noise

白噪声发生器white noise generator

半波偶极子halfwave dipole

半导体存储器semiconductor memory

半导体集成电路semiconductor integrated circuit

半双工操作semi-duplex operation

半字节Nib

包络负反馈peak envelop negative feed-back

包络延时失真envelop delay distortion

薄膜thin film

薄膜混合集成电路thin film hybrid integrated circuit

保护比(射频)protection ratio (RF)

保护时段guard period

保密通信secure communication

报头header

报文分组packet

报文优先等级message priority

报讯alarm

备用工作方式spare mode

背景躁声background noise

倍频frequency multiplication

倍频程actave

倍频程滤波器octave filter

被呼地址修改通知called address modified notification

被呼用户优先priority for called subscriber

本地PLMN local PLMN

本地交换机local exchange

本地移动用户身份local mobile station identity ( LMSI)

本地震荡器local oscillator

比功率(功率密度) specific power

比特bit

比特并行bit parallel

比特号码bit number (BN)

比特流bit stream

比特率bit rate

比特误码率bit error rate

比特序列独立性bit sequence independence

必要带宽necessary bandwidth

闭环电压增益closed loop voltage gain

闭环控制closed loop control

闭路电压closed circuit voltage

边瓣抑制side lobe suppression

边带sideband

边带非线性串扰sideband non-linear crosstalk

边带线性串扰sideband linear crosstalk

边带抑制度sideband suppression

边角辐射boundary radiation

编号制度numbering plan

编解码器codec

编码encode

编码律encoding law

编码器encoder

编码器输出encoder output

编码器总工作时间encoder overall operate time

编码效率coding efficiency

编码信号coded signal

编码约束长度encoding constraint length

编码增益coding gain

编译程序compiler

鞭状天线whip antenna

变频器converter

变频损耗converter conversion loss

变容二极管variable capacitance diode

变形交替传号反转modified alternate mark inversion

便携电台portable station

便携设备portable equipment

便携式载体设备portable vehicle equipment

标称调整率(标称塞入率)nominal justification rate (nominal stuffing rate) 标称值nominal value

标称呼通概率nominal calling probability

标准码实验信号standard code test signal (SCTS)

标准模拟天线standard artificial antenna

标准频率standard frequency

标准时间信号发射standard-time-signal emission

标准实验调制standard test modulation

标准输出功率standard power output

标准输入信号standard input signal

标准输入信号电平standard input-signal level

标准输入信号频率standard input-signal frequency

标准信躁比standard signal to noise

表面安装surface mounting

表示层presentation layer

并串变换器parallel-serial converter (serializer)

并馈垂直天线shunt-fed vertical antenna

并行传输parallel transmission

并行终端parallel terminal

拨号错误概率dialing mistake probability

拨号后延迟post-dialing delay

拨号交换机dial exchange

拨号线路dial-up line

拨号音dialing tone

拨号终端dial-up terminal

波动强度(在给定方向上的)cymomotive force (c. m. f)

波段覆盖wave coverage

波峰焊wave soldering

波特baud

泊送过程Poisson process

补充业务supplementary service (of GSM)

补充业务登记supplementary service registration

补充业务询问supplementary service interrogation

补充业务互连supplementary service interworking

捕捉区(一个地面接收台)capture area (of a terrestrial receiving station) 捕捉带pull-in range

捕捉带宽pull-in banwidth

捕捉时间pull-in time

不连续发送discontinuous transmission (DTX)

不连续干扰discontinuous interference

不连续接收discontinuous reception (DRX)

不确定度uncertainty

步谈机portable mobile station

C

采样定理sampling theorem

采样频率sampling frequency

采样周期sampling period

参考边带功率reference side band power

参考差错率reference error ratio

参考当量reference equivalent

参考点reference point

参考结构reference configuration

参考可用场强reference usable fiend-strength

参考灵敏度reference sensibility

参考频率reference frequency

参考时钟reference clock

参考输出功率reference output power

残余边带调制vestigial sideband modulation

残余边带发射vestigial-sideband emission

操作维护中心operation maintenance center (OMC)

操作系统operation system (OS)

侧音消耗sidetone loss

层2转发layer 2 relay (L2R)

插入组装through hole pachnology

插入损耗insertion loss

查号台information desk

差错控制编码error control coding

差错漏检率residual error rate

差分脉冲编码调制(差分脉码调制)differential pulse code modulation (DPCM) 差分四相相移键控differential quadrature phase keying (DQPSK)

差分相移键控differential phase keying (DPSK)

差模电压,平衡电压differential mode voltage, symmetrical voltage

差拍干扰beat jamming

差频失真difference frequency distortion

长期抖动指示器long-term flicker indicator

长期频率稳定度long-term frequency stability

场强灵敏度field intensity sensibility

场效应晶体管field effect transistor (FET)

超长波通信myriametric wave communication

超地平对流层传播transhorizon tropospheric

超地平无线接力系统transhorizon radio-relay system

超高帧hyperframe

超帧superframe

超大规模集成电路very-large scale integrated circuit (VLSI)

超再生接收机super-regenerator receiver

车载电台vehicle station

撤消withdrawal

成对不等性码(交替码、交变码)

paired-disparity code (alternative code, alternating code)

承载业务bearer service

城市交通管制系统urban traffic control system

程序设计技术programming technique

程序设计环境programming environment

程序优化program optimization

程序指令program command

充电charge

充电率charge rate

充电效率charge efficiency

充电终止电压end-of charge voltage

抽样sampling

抽样率sample rate

初级分布线路primary distribution link

初始化initialization

处理增益processing gain

传播时延propagation delay

传播系数propagation coefficient

传导干扰conducted interference

传导杂散发射conducted spurious emission

传递函数transfer function

传递时间transfer time

传声器microphone

传输保密transmission security

传输层协议transport layer protocol

传输集群transmission trunking

传输结束字符end of transmission character

传输媒体transmission medium

传输损耗transmission loss

传输损耗(无线线路的)transmission loss (of a radio link)

传输通道transmission path

传输信道transmission channel

传真facsimile, FAX

船舶地球站ship earth station

船舶电台ship station

船舶移动业务ship movement service

船上通信电台on-board communication station ,ship communication station 船用收音机ship radio

串并变换机serial to parallel (deserializer)

串并行变换serial-parallel conversion

串话crosstalk

垂直方向性图vertical directivity pattern

唇式传声器lip microphone

磁屏蔽magnetic shielding

次级分布线路secondary distribution link

猝发差错burst error

猝发点火控制burst firing control

存储程序控制交换机stored program controlled switching system

D

大规模集成电路large scale integrated circuit (LSI)

大信号信躁比signal-to-noise ratio of strong signal

带成功结果的常规操作normal operation with successful outcome

带宽bandwidth

带内导频单边带pilot tone-in-band single sideband

带内谐波in-band harmonic

带内信令in-band signalling

带内躁声in-band noise

带通滤波器band-pass filter

带外发射out-of-band emission

带外功率out-of-band power

带外衰减attenuation outside a channel

带外信令out-band signalling

带状线stripline

单边带发射single sideband (SSB) emission

单边带发射机single side-band (SSB) transmitter

单边带调制single side band modulation

单边带解调single side band demodulation

单边带信号发生器single side band signal generaltor

单端同步single-ended synchronization

单工、双半工simplex, halfduplex

单工操作simplex operation

单工无线电话机simplex radio telephone

单呼single call

单频双工single frequency duplex

单频信令single frequency signalling

单相对称控制symmetrical control (single phase)

单相非对称控制asymmetrical control (single phase)

单向one-way

单向的unidirectional

单向控制unidirectional control

单信道地面和机载无线电分系统SINCGARS

单信道无绳电话机single channel cordless telephone

单信号方法single-signal method

单音tone

单音脉冲tone pulse

单音脉冲持续时间tone pulse duration

单音脉冲的单音频率tone frequency of tone pulse

单音脉冲上升时间tone pulse rise time

单音脉冲下降时间tone pulse decay time

单音制individual tone system

单元电缆段(中继段)elementary cable section (repeater section)

单元再生段elementary regenerator section (regenerator section)

单元增音段,单元中继段elementary repeater section

当被呼移动用户不回答时的呼叫转移call forwarding on no reply (CFNRy)

当被呼移动用户忙时的呼叫转calling forwarding on mobile subscriber busy (CFB)

当漫游到原籍PLMN国家以外时禁止所有入呼barring of incoming calls when roaming outside the home PLMN country (BIC-Roam)

当前服务的基站current serving BS

当无线信道拥挤时的呼叫转移

calling forward on mobile subscriber not reachable (CENRc)

刀型天线blade antenna

导频pilot frequency

导频跌落pilot fall down

倒L型天线inverted-L antenna

等步的isochronous

等幅电报continuous wave telegraph

等权网(互同步网)democratic network (mutually synchronized network)

等效比特率equivalent bit rate

等效地球半径equivalent earth radius

等效二进制数equivalent binary content

等效全向辐射功率equivalent isotropically radiated power (e. i. r. p.)

等效卫星线路躁声温度equivalent satellite link noise temperature

低轨道卫星系统LEO satellite mobile communication system

低气压实验low atmospheric pressure test

低时延码激励线性预测编码low delay CELP (LD-CELP)

低通滤波器low pass filter

低温实验low temperature test

低躁声放大器low noise amplifier

地-空路径传播earth-space path propagation

地-空通信设备ground/air communication equipment

地波ground wave

地面连线用户land line subscriber

地面无线电通信terrestrial radio communication

地面站(电台)terrestrial station

第N次谐波比nth harmonic ratio

第二代无绳电话系统cordless telephone system second generation (CT-2)

第三代移动通信系统third generation mobile systems

点波束天线spot beam antenna

点对地区通信point-area communication

点对点通信point-point communication

点至点的GSM PLMN连接point to point GSM PLMN

电报telegraphy

电报电码telegraph code

电波衰落radio wave fading

电池功率power of battery

电池能量energy capacity of battery

电池容量battery capacity

电池组battery

电磁波electromagnetic wave

电磁波反射reflection of electromagnetic wave

电磁波饶射diffraction of electromagnetic wave

电磁波散射scattering of electromagnetic wave

电磁波色射dispersion of electromagnetic wave

电磁波吸收absorption of electromagnetic wave

电磁波折射refraction of electromagnetic wave

电磁场electromagnetic field

电磁发射electromagnetic field

电磁辐射electromagnetic emission

电磁干扰electromagnetic interference (EMI)

电磁感应electromagnetic induction

电磁环境electromagnetic environment

电磁兼容性electromagnetic compatibility (EMC)

电磁兼容性电平electromagnetic compatibility level

电磁兼容性余量electromagnetic compatibility margin 电磁脉冲electromagnetic pulse (EMP)

电磁脉冲干扰electromagnetic pulse jamming

电磁敏感度electromagnetic susceptibility

电磁能electromagnetic energy

电磁耦合electromagnetic coupling

电磁屏蔽electromagnetic shielding

电磁屏蔽装置electromagnetic screen

电磁骚扰electromagnetic disturbance

电磁噪声electromagnetic noise

电磁污染electromagnetic pollution

电动势electromotive force (e. m. f.)

电话机telephone set

电话局容量capacity of telephone exchange

电话型电路telephone-type circuit

电话型信道telephone-type channel

电离层ionosphere

电离层波ionosphere wave

电离层传播ionosphere propagation

电离层反射ionosphere reflection

电离层反射传播ionosphere reflection propagation

电离层散射传播ionosphere scatter propagation

电离层折射ionosphere refraction

电离层吸收ionosphere absorption

电离层骚扰ionosphere disturbance

电流探头current probe

电路交换circuit switching

电屏蔽electric shielding

电视电话video-telephone, viewphone, visual telephone

电台磁方位magnetic bearing of station

电台方位bearing of station

电台航向heading of station

电文编号message numbering

电文队列message queue

电文格式message format

电文交换message switching

电文交换网络message switching network

电文结束代码end-of-message code

电文路由选择message routing

电小天线electronically small antenna

电信管理网络telecommunication management network (TMN)

电信会议teleconferencing

电压变化voltage change

电压变化持续时间duration of a voltage change

电压变化的发生率rate of occurrence of voltage changes

电压变化时间间隔voltage change interval

电压波动voltage fluctuation

电压波动波形voltage fluctuation waveform

电压波动量magnitude of a voltage fluctuation

电压不平衡voltage imbalance, voltage unbalance

电压浪涌voltage surge

电压骤降voltage dip

电源power supply

电源电压调整率line regulation

电源抗扰性mains immunity

电源持续工作能力continuous operation ability of the power supply 电源去耦系数mains decoupling factor

电源骚扰mains disturbance

电子干扰electronic jamming

电子工业协会Electronic Industries Association (EIA)

电子系统工程electronic system engineering

电子自动调谐electronic automatic tuning

电子组装electronic packaging

电阻温度计resistance thermometer

跌落试验fall down test

顶部加载垂直天线top-loaded vertical antenna

定长编码block code

定期频率预报periodical frequency forecast

定时clocking

定时超前timing advance

定时电路timing circuit

定时恢复(定时抽取)timing recovery (timing extration)

定时截尾试验fixed time test

定时信号timing signal

定数截尾试验fixed failure number test

定向天线directional antenna

定型试验type test

动态频率分配dynamic frequency allocation

动态信道分配dynamic channel allocation

动态重组dynamic regrouping

动态自动增益控制特性dynamic AGC characteristic

抖动jitter

独立边带independent sideband

独立故障independent fault

端到端业务teleservice

短波传播short wave propagation

短波通信short wave communication

短路保护short-circuit protection

短期抖动指示器short-term flicker indicator

短期频率稳定度short-term frequency stability

短时间中断(供电电压)short interruption (of supply voltage)

段终端section termination

对称二元码symmetrical binary code

对地静止卫星geostationary satellite

对地静止卫星轨道geostationary satellite orbit

对地同步卫星geosynchronous satellite

对讲电话机intercommunicating telephone set

对空台aeronautical station

对流层troposphere

对流层波道troposphere duct

对流层传播troposphere propagation

对流层散射传播troposphere scatter propagation

多次调制multiple modulation

多点接入multipoint access

多电平正交调幅multi-level quadrature amplitude modulation (QAM) 多分转站网multidrop network

多服务器队列multiserver queue

多工multiplexing

多工器nultiplexer

多功能系统MRS

多级处理multilevel processing

多级互连网络multistage interconnecting network

多级卫星线路multi-satellite link

多径multipath

多径传播multipath propagation

多径传播函数nultipath propagation function

多径分集multipath diversity

多径时延multipath delay

多径衰落multipath fading

多径效应multipath effect

多路复接multiplexing

多路接入multiple access

多路信道multiplexor channel

多脉冲线性预测编码multi-pulse LPC (MPLC)

多频信令multifrequency signalling

多普勒频移Doppler shift

多跳路径multihop path

多信道选取multichannel access (MCA)

多信道自动拨号移动通信系统

multiple-channel mobile communication system with automatic dialing 多优先级multiple priority levels

多帧multiframe

多址呼叫multiaddress call

多址联接multiple access

多重时帧multiple timeframe

多用户信道multi-user channel

E

额定带宽rated bandwidth

额定射频输出功率rated radio frequency output power

额定使用范围rated operating range

额定音频输出功率rated audio-frequency output power

额定值rated value

爱尔兰erlang

恶意呼叫识别malicious call identification (MCI)

耳机(受话器)earphone

耳机额定阻抗rated impedance of earphone

二十进制码binary-coded decimal (BCD) code

二十进制转换binary-to-decimal conversion

二十六进制转换binary-to-hexadecimal conversion

二进制码binary code

二进制频移键控binary frequency shift keying (BFSK)

二进制数binary figure

二频制位binary digit(bit)

二频制two-frequency system

二维奇偶验码horizontal and vertical parity check code

二线制two-wire system

二相差分相移键控binary different phase shift keying (BDPSK)

二相相移键控binary phase shift keying (BPSK)

F

发报机telegraph transmitter

发射emisssion

发射(或信号)带宽bandwidth of an emission (or a signal) 发射机transmitter

发射机边带频谱transmitter sideband spectrum

发射机额定输出功率rated output power of transmitter

发射机合路器transmitter combiner

发射机冷却系统cooling system of transmitter

发射机启动时间transmitter attack time

发射机效率transmitter frequency

发射机杂散躁声spurious transmitter noise

发射机之间的互调iner-transmitter intermodulation

发射机对答允许频(相)偏

transmitter maximum permissible frequency(phase) deviation 发射类别class of emission

发射频段transmit frequency band

发射余量emission margin

发送sending

发送响度评定值send loudness rating (SLR)

繁忙排队/自动回叫busy queuing/ callback

反馈控制系统feedback control system

反射功率reflection power

反射卫星reflection satellite

反向话音通道reverse voice channel (RVC)

反向控制信道reverse control channel (RECC)

泛欧数字无绳电话系统digital European cordless telephone 方舱shelter

方向性系数directivity of an antenna

防爆电话机explosion-proof telephone set

防潮moisture protection

防腐蚀corrosion protection

防霉mould proof

仿真头artificial head

仿真耳artificial ear

仿真嘴artificial mouth

仿真天线dummy antenna

放大器amplifier

放大器线性动态范围linear dynamic range of amplifier

放电discharge

放电电压discharge voltage

放电深度depth of discharge

放电率discharge rate

放电特性曲线discharge character curve

非等步的anisochronous

非归零码nonreturn to zero code (NRZ)

非均匀编码nonuniform encoding

非均匀量化nonuniform quantizing

非连续干扰discontinuous disturbance

“非”门NOT gate

非强占优先规则non-preemptive priority queuing discipline

非受控滑动uncontrolled slip

非线性电路nonlinear circuit

非线性失真nonliear distortion

非线性数字调制nonlinear digital modulation

非占空呼叫建立off-air-call-set-up (OACSU)

非专用控制信道non-dedicated control channel

非阻塞互连网络non-blocking interconnection network

分贝decibel (dB)

分辨力resolution

分布参数网络distributed parameter network

分布式功能distributed function

分布式数据库distributed database

分别于是微波通信系统distributed microwave communication system 分布式移动通信系统distributed mobile communication system

分布路线distribution link

分段加载天线sectional loaded antenna

分机extension

分集diversity

分集改善系数diversity improvement factor

分集间隔diversity separation

分集增益diversity gain

分集接收diversity reception

分接器demultiplexer

分频frequency division

分散定位distributed chann

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

半导体行业专业词汇

半导体行业专业词汇 . acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。

半导体专业术语英语..

1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。

半导体名词解释

1. 何谓PIE? PIE的主要工作是什幺? 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2. 200mm,300mm Wafer 代表何意义? 答:8吋硅片(wafer)直径为 200mm , 直径为 300mm硅片即12吋. 3. 目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺?未来北京的Fab4(四厂)采用多少mm的wafer工艺? 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达0.13um工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4. 我们为何需要300mm? 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低 200→300 面积增加2.25倍,芯片数目约增加2.5倍 5. 所谓的0.13 um 的工艺能力(technology)代表的是什幺意义? 答:是指工厂的工艺能力可以达到0.13 um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6. 从0.35um->0.25um->0.18um->0.15um->0.13um 的technology改变又代表的是什幺意义? 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从0.35um -> 0.25um -> 0.18um ->

0.15um -> 0.13um 代表着每一个阶段工艺能力的提升。 7. 一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓 N, P-type wafer? 答:N-type wafer 是指掺杂 negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂 positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module)? 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9. 一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义? 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般0.15um 的逻辑产品为1P6M( 1层的Poly和6层的metal)。而 光罩层数(mask layer)代表硅片的制造必需经过几次的PHOTO(光刻). 10. Wafer下线的第一道步骤是形成start oxide 和zero layer? 其中start oxide 的目的是为何? 答:①不希望有机成分的光刻胶直接碰触Si 表面。 ②在laser刻号过程中,亦可避免被产生的粉尘污染。 11. 为何需要zero layer? 答:芯片的工艺由许多不同层次堆栈而成的, 各层次之间以zero layer当做对准的基准。 12. Laser mark是什幺用途? Wafer ID 又代表什幺意义? 答:Laser mark 是用来刻wafer ID, Wafer ID 就如同硅片的身份证一样,一个ID代表一片硅片的身份。 13. 一般硅片的制造(wafer process)过程包含哪些主要部分? 答:①前段(frontend)-元器件(device)的制造过程。 ②后段(backend)-金属导线的连接及护层(passivation) 14. 前段(frontend)的工艺大致可区分为那些部份? 答:①STI的形成(定义AA区域及器件间的隔离)

半导体一些术语的中英文对照

离子注入机 ion implanter LSS理论 Lindhand Scharff and Schiott theory 又称“林汉德-斯卡夫-斯高特理论”。 沟道效应 channeling effect 射程分布 range distribution 深度分布 depth distribution 投影射程 projected range 阻止距离 stopping distance 阻止本领 stopping power 标准阻止截面 standard stopping cross section 退火 annealing 激活能 activation energy 等温退火 isothermal annealing 激光退火 laser annealing 应力感生缺陷 stress-induced defect 择优取向 preferred orientation

制版工艺 mask-making technology 图形畸变 pattern distortion 初缩 first minification 精缩 final minification 母版 master mask 铬版 chromium plate 干版 dry plate 乳胶版 emulsion plate 透明版 see-through plate 高分辨率版 high resolution plate, HRP 超微粒干版 plate for ultra-microminiaturization 掩模 mask 掩模对准 mask alignment 对准精度 alignment precision 光刻胶 photoresist 又称“光致抗蚀剂”。 负性光刻胶 negative photoresist

半导体常用英语词汇-

MFG 常用英文单字 Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导容易 绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易 半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片, 称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在 上一站加工时,本站便要空着机台等待Super Hot Run。 Hot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则,或 视常班向生产指令而定。 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。 Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格 内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知 制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能力。 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料,然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都

半导体术语

Abrupt junction 突变结Accelerated testing 加速实验Acceptor 受主 Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层 Active region 有源区 Active component 有源元 Active device 有源器件 Activation 激活 Activation energy 激活能 Active region 有源(放大)区Admittance 导纳 Allowed band 允带 Alloy-junction device 合金结器件Aluminum(Aluminium) 铝Aluminum –oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度

Amorphous 无定形的,非晶体的 Amplifier 功放扩音器放大器 Analogue(Analog) comparator 模拟比较器Angstrom 埃Anneal 退火 Anisotropic 各向异性的 Anode 阳极 Arsenic (AS) 砷 Auger 俄歇 Auger process 俄歇过程 Avalanche 雪崩 Avalanche breakdown 雪崩击穿 Avalanche excitation雪崩激发 B Background carrier 本底载流子 Background doping 本底掺杂 Backward 反向 Backward bias 反向偏置 Ballasting resistor 整流电阻 Ball bond 球形键合 Band 能带 Band gap 能带间隙 Barrier 势垒

半导体行业专业术语

半导体行业专业术语.txt都是一个山的狐狸,你跟我讲什么聊斋,站在离你最近的地方,眺望你对别人的微笑,即使心是百般的疼痛只为把你的一举一动尽收眼底.刺眼的白色,让我明白什么是纯粹的伤害。悬赏太少了吧~嘎嘎不过尽管如此还是分享下俺的资料(有19800个字,这里发不下,如果还需要就给我小消息~~~):) 移动通讯词汇(中英) A 安全地线 safe ground wire 安全特性 security feature 安装线 hook-up wire 按半周进行的多周期控制 multicycle controlled by half-cycle 按键电话机 push-button telephone set 按需分配多地址 demand assignment multiple access(DAMA) 按要求的电信业务 demand telecommunication service 按组编码 encode by group B 八木天线 Yagi antenna 白噪声 white Gaussian noise 白噪声发生器 white noise generator 半波偶极子 halfwave dipole 半导体存储器 semiconductor memory 半导体集成电路 semiconductor integrated circuit 半双工操作 semi-duplex operation 半字节 Nib 包络负反馈 peak envelop negative feed-back 包络延时失真 envelop delay distortion 薄膜 thin film 薄膜混合集成电路 thin film hybrid integrated circuit 保护比(射频) protection ratio (RF) 保护时段 guard period 保密通信 secure communication 报头 header 报文分组 packet 报文优先等级 message priority 报讯 alarm 备用工作方式 spare mode 背景躁声 background noise 倍频 frequency multiplication 倍频程 actave 倍频程滤波器 octave filter 被呼地址修改通知 called address modified notification

半导体词汇汇总

半导体词汇 半导体词汇 1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。 39. Compensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。 40. CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS在同一个硅衬

半导体专业术语英语讲解学习

半导体专业术语英语

1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷

22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。

半导体用语

半导体用语

————————————————————————————————作者: ————————————————————————————————日期: ?

Siliconingot 硅锭 Wafer晶片 Mirror wafer 镜面晶圆 Patter晶圆片 FAB:fabrication 制造 Fabrication Facility 制造wafer生产工厂 Probe test探针测试 Probe card探针板 Contact连接 ProbeTip 探头端部 Chip Function 功能 EPM:Electrical Parameter Monitoring Summary总结 R&D:Researchand Development研究和开发MCP:Multi Chip Package 多芯片封装 POP:Package on Package e-MMC:embedded Multi Media card 嵌入式多媒体卡WLP:Wafer Level Package 晶圆级封装 SDP 一层 DDP 两层 QDP 四层

ODP八层 Pad out BackGrind背研磨 WaferGrindBack Grind 磨片 Overview 概述 TPM:Total Profit Management SKTPM Operation 操作 Erase 消除 Key Para.:Keyparameter 关键参数 Cycling 写入次数、循环次数 Retention 保留时间 Non-V olatile memory Volatile memory Read读 Write写 Refresh 更新 Speed速度、速率、转速 Restore 修复、恢复 Electrical Signal 电信号 WFBI:WaferBurn-In PT1H:Probe Test1HotTest PT1C:Probe Test 1 ColdTest

半导体名词解释

1.何谓PIE PIE的主要工作是什幺? 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2.200mm,300mm Wafer 代表何意义? 答:8寸硅片(wafer)直径为 200mm , 直径为 300mm硅片即12寸. 3.目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺未来北京的Fab4(四厂)采用多少mm的wafer工艺? 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4.我们为何需要300mm? 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低 200→300 面积增加倍,芯片数目约增加倍 5. 所谓的 um 的工艺能力(technology)代表的是什幺意义? 答:是指工厂的工艺能力可以达到 um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6.从>>>> 的technology改变又代表的是什幺意义? 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从 -> -> -> -> 代表着每一个阶段工艺能力的提升。 7.一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓 N, P-type wafer? 答:N-type wafer 是指掺杂 negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂 positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module)? 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9.一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义? 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般的逻辑产品为1P6M( 1层的Poly和6层的metal)。而

半导体物理--专业术语英汉对照-复习版

1 acceptor 受主 2 allowed energy band允带 3 binary semiconductor 二元半导体 4 charge neutrality condition 电中性条件 5 compensated semiconductor 补偿半导体 6 conduction band and valence band 导带和价带 7 effective mass 有效质量 8 density of states function状态密度函数 9 diamond structure金刚石结构 10 diffusion coefficient扩散系数 11 donor施主 12 drift velocity 漂移速度 13 electron and hole电子和空穴 14 elemental semiconductor 元素半导体 15 equilibrium carrier concentration热平衡载流子浓度 16 expitaxy外延 17 extrinsic semiconductor非本征半导体 18 Fermi energy (or level)费米能级 19 Forbidden energy band禁带 20 indirect bandbap semiconductor非直接带隙半导体 21 intrinsic semiconductor本征半导体 22 majority carrier多数载流子 23 MBE分子束外延 24 Miller indices密勒指数 25 minority carrier少数载流子 26 mobility迁移率 27 MOCVD金属有机气相沉积 28 nondegenerate semiconductor非简并半导体 29 n-type material n型材料 30 Pauli exclusion principle 泡利不相容原理 31 phonon声子 32 photon光子 33 primitive cell原胞 34 quantum state量子态 35 quaternary semiconductor四元半导体 36 scattering散射 37 substrate衬底 38 thermal motion热运动 39 unit cell单胞 40 wave-particle duality波粒二相性 41 continuity equations连续性方程 42 diffusion length扩散长度 43 diffusion coefficient扩散系数 44 Einstein relationship爱因斯坦关系 45 p-n junction p-n结 46 built-in voltage 内建电势差 47 carrier lifetime 载流子寿命 48 space charge region 空间电荷区 49 depletion width 耗尽宽度 50 saturation drift velocity 饱和迁移速度

电气的专业术语 英文

电气的专业术语英文(一) 11. interfere with 有害于。。。 12. indicating needle仪表指针 13. hazardous 危险的 14. pivot 支点 15. terminal 端子 16. spiral 螺旋形的 17. spring 弹簧 18. shunt 分流,分路,并联,旁路 19. rectifier 整流器 20. electrodynamometer 电测力计 21. strive for 争取 22. vane 机器的叶,叶片 23. strip 条,带,(跨接)片 24. crude 不精细的,粗略的 25. polarity 极性 26. fuse 保险丝,熔丝 27. rugged 坚固的 28. depict 描绘,描写 29. cartridge 盒式保险丝 30. blow (保险丝)烧断

31. plug fuse 插头式保险丝 32. malfunction 故障 33. deenergize 不给… 通电 34. insulation 绝缘 35. generator 发电机 36. magneto 磁发电机 37. humidity 湿度 38. moisture 潮湿湿气 39. abbreviate 缩写,缩写为 40. transformer 变压器 41. thumb 检查,查阅 42. milliammeter 毫安表 43. multimeter 万用表 44. dynamometer 测力计,功率计 45. aluminum 铝 46. deteriorate 使….恶化 47. eddy current 涡流 48. gear 齿轮,传动装置 49. dial 刻度盘 50. semiconductor 半导体 51. squirrel 鼠笼式

半导体英文词汇

1 Active Area 主动区(工作区)主动晶体管(ACTIVE TRANSISTOR)被制造的区域即所谓的主动区(ACTIVE AREA)。在标准之MOS制造过程中ACTIVE AREA是由一层氮化硅光罩即等接氮化硅蚀刻之后的局部场区氧化所形成的,而由于利用到局部场氧化之步骤,所以ACTIVE AREA会受到鸟嘴(BIRD’S BEAK)之影响而比原先之氮化硅光罩所定义的区域来的小,以长0.6UM之场区氧化而言,大概会有0.5UM之BIRD’S BEAK存在,也就是说ACTIVE AREA比原在之氮化硅光罩所定义的区域小0.5UM。 2 ACTONE 丙酮 1. 丙酮是有机溶剂的一种,分子式为CH3COCH3。2. 性质为无色,具刺激性及薄荷臭味之液体。3. 在FAB内之用途,主要在于黄光室内正光阻之清洗、擦拭。4. 对神经中枢具中度麻醉性,对皮肤黏膜具轻微毒性,长期接触会引起皮肤炎,吸入过量之丙酮蒸汽会刺激鼻、眼结膜及咽喉黏膜,甚至引起头痛、恶心、呕吐、目眩、意识不明等。5. 允许浓度1000PPM。 3 ADI 显影后检查1.定义:After Developing Inspection 之缩写2.目的:检查黄光室制程;光阻覆盖→对准→曝光→显影。发现缺点后,如覆盖不良、显影不良…等即予修改,以维护产品良率、品质。3.方法:利用目检、显微镜为之。 4 AEI 蚀刻后检查 1. 定义:AEI即After Etching Inspection,在蚀刻制程光阻去除前及光阻去除后,分别对产品实施全检或抽样检查。2.目的:2-1提高产品良率,避免不良品外流。2-2达到品质的一致性和制程之重复性。2-3显示制程能力之指针2-4阻止异常扩大,节省成本3.通常AEI检查出来之不良品,非必要时很少作修改,因为重去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加,生产成本增高,以及良率降低之缺点。 5 AIR SHOWER 空气洗尘室进入洁净室之前,需穿无尘衣,因在外面更衣室之故,无尘衣上沾着尘埃,故进洁净室之前,需经空气喷洗机将尘埃吹掉。 6 ALIGNMENT 对准 1. 定义:利用芯片上的对准键,一般用十字键和光罩上的对准键合对为之。2. 目的:在IC的制造过程中,必须经过6~10次左右的对准、曝光来定义电路图案,对准就是要将层层图案精确地定义显像在芯片上面。3. 方法:A.人眼对准B.用光、电组合代替人眼,即机械式对准。 7 ALLOY/SINTER 熔合 Alloy之目的在使铝与硅基(Silicon Substrate)之接触有Ohmic特性,即电压与电流成线性关系。Alloy也可降低接触的阻值。 8 AL/SI 铝/硅靶此为金属溅镀时所使用的一种金属合金材料利用

半导体材料英文缩略语

[材料科学] 半导体材料英文缩略语 材料科学2008-03-24 16:18:46 阅读37 评论0 字号:大中小订阅 援引:MEMC Electronic Materials, Inc. A . -- Angstrom A-defects -- Dislocation loops in Silicon formed by agglomeration of interstitials AA -- Atomic absorption AE -- Acid Etch AFM -- Atomic Force Microscopy ALCVD -- Atomic Layer Chemical Vapor Deposition AMC -- Barrel or batch type Epi reactor (Applied Materials) APCVD -- Atmospheric-Pressure Chemical Vapor Deposition Furnace ASIC -- Application Specific Integrated Circuit ASM -- a single-chamber Epi reactor (ASM America) ASTM -- American Standard Test Method ASTM -- American Society for Testing and Materials B BESOI -- Bonded and Etch Back SOI BGSOI -- Bonded and Grind Back SOI BJT -- Bipolar Junction Transistor BMD -- Bulk Micro-Defects or Bulk Microdefect Density (used almost exclusively as a measure of the oxygen precipitate density) BOE -- Buffered Oxide Etch BOX -- Buried Oxide Layer

#半导体术语

半导体术语 离?子注?入机 ion implanter LSS理理论 Lindhand Scharff and Schiott theory,?又称“林林汉德-斯卡夫-斯?高特理理论”。沟道效应 channeling effect 射程分布 range distribution 深度分布 depth distribution 投影射程 projected range 阻?止距离 stopping distance 阻?止本领 stopping power 标准阻?止截?面 standard stopping cross section 退?火 annealing 激活能 activation energy 等温退?火 isothermal annealing 激光退?火 laser annealing 应?力力感?生缺陷 stress-induced defect 择优取向 preferred orientation 制版?工艺 mask-making technology 图形畸变 pattern distortion 初缩 ?rst mini?cation 精缩 ?nal mini?cation ?母版 master mask 铬版 chromium plate ?干版 dry plate 乳胶版 emulsion plate 透明版 see-through plate ?高分辨率版 high resolution plate, HRP

超微粒?干版 plate for ultra-microminiaturization 掩模 mask 掩模对准 mask alignment 对准精度 alignment precision 光刻胶 photoresist,?又称“光致抗蚀剂”。 负性光刻胶 negative photoresist 正性光刻胶 positive photoresist ?无机光刻胶 inorganic resist 多层光刻胶 multilevel resist 电?子束光刻胶 electron beam resist X射线光刻胶 X-ray resist 刷洗 scrubbing 甩胶 spinning 涂胶 photoresist coating 后烘 postbaking 光刻 photolithography X射线光刻 X-ray lithography 电?子束光刻 electron beam lithography 离?子束光刻 ion beam lithography 深紫外光刻 deep-UV lithography 光刻机 mask aligner 投影光刻机 projection mask aligner 曝光 exposure 接触式曝光法 contact exposure method 接近式曝光法 proximity exposure method 光学投影曝光法 optical projection exposure method 电?子束曝光系统 electron beam exposure system

相关文档
最新文档