电子设计自动化技术课件答案

电子设计自动化技术课件答案
电子设计自动化技术课件答案

第一章

1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4

答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。

1-2与软件描述语言相比,VHDL有什么特点? P6

答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。

l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5

什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。

1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10

答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。

1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12

答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。

第二章

2-1 叙述EDA的FPGA/CPLD设计流程。 P13~16

答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;

5.编程下载;

6.硬件测试。

2-2 IP是什么?IP与EDA技术的关系是什么? P24~26

IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。

IP与EDA技术的关系是什么? 答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。

2-3 叙述ASIC的设计方法。 P18~19

答:ASIC设计方法,按版图结构及制造方法分有半定制(Semi-custom)和全定制(Full-custom)两种实现方法。

全定制方法是一种基于晶体管级的,手工设计版图的制造方法。

半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法。

2-4 FPGA/CPLD在ASIC设计中有什么用途? P16,18

答:FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。

2-5 简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。 P19~23

答:基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具有:设计输入编辑器(作用:接受不同的设计输入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及HDL的文本输入方式。);HDL综合器(作用:HDL综合器根据工艺库和约束条件信息,将设计输入编辑器提供的信息转化为目标器件硬件结构细节的信息,并在数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:行为模型的表达、电子系统的建模、逻辑电路的验证及门级系统的测试);适配器(作用:完成目标系统在器件上的布局和布线);下载器(作用:把设计结果信息下载到对应的实际器件,实现硬件设计)。

第三章

3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。 P34~36

OLMC有何功能? 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。

说明GAL是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。

3-2 什么是基于乘积项的可编程逻辑结构? P33~34,40

答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。

3-3 什么是基于查找表的可编程逻辑结构? P40~41

答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。

3-4 FPGA系列器件中的LAB有何作用? P43~45

答:FPGA(Cyclone/Cyclone II)系列器件主要由逻辑阵列块LAB、嵌入式存储器块(EAB)、I/O单元、嵌入式硬件乘法器和PLL等模块构成;其中LAB(逻辑阵列块)由一系列相邻的LE(逻辑单元)构成的;FPGA可编程资源主要来自逻辑阵列块LAB。

3-5 与传统的测试技术相比,边界扫描技术有何优点? P47~50

答:使用BST(边界扫描测试)规范测试,不必使用物理探针,可在器件正常工作时在系统捕获测量的功能数据。克服传统的外探针测试法和“针床”夹具测试法来无法对IC内部节点无法测试的难题。

3-6 解释编程与配置这两个概念。 P58

答:编程:基于电可擦除存储单元的EEPROM或Flash技术。CPLD一股使用此技术进行编程。CPLD被编程后改变了电可擦除存储单元中的信息,掉电后可保存。电可擦除编程工艺的优点是编程后信息不会因掉电而丢失,但编程次数有限,编程的速度不快。

配置:基于SRAM查找表的编程单元。编程信息是保存在SRAM中的,SRAM在掉电后编程信息立即丢失,在下次上电后,还需要重新载入编程信息。大部分FPGA采用该种编程工艺。该类器件的编程一般称为配置。对于SRAM型FPGA来说,配置次数无限,且速度快;在加电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编程。

3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~56

答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。

第四章

4-1:画出与下例实体描述对应的原理图符号元件:

ENTITY buf3s IS -- 实体1:三态缓冲器

PORT (input : IN STD_LOGIC ; -- 输入端

enable : IN STD_LOGIC ; -- 使能端

output : OUT STD_LOGIC ) ; -- 输出端

END buf3x ;

ENTITY mux21 IS --实体2: 2选1多路选择器

PORT (in0, in1, sel : IN STD_LOGIC;

output : OUT STD_LOGIC);

4-1.答案

4-2. 图3-30所示的是4选1多路选择器,试分别用IF_THEN语句和CASE语句的表达方式写出此电路的VHDL程序。选择控制的信号s1和s0的数据类型为

STD_LOGIC_VECTOR;当s1='0',s0='0';s1='0',s0='1';s1='1',s0='0'和s1='1',s0='1'分别执行y<=a、y<=b、y<=c、y<=d。

4-2.答案

LIBRARY IEEE;

USE MUX41 IS

PORT(s:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入选择信号

a,b,c,d:IN STD_LOGIC; --输入信号

y:OUT STD_LOGIC);--输出端

END ENTITY;

ARCHITECTURE ART OF MUX41 IS

BEGIN

PROCESS(s)

BEGIN

IF (S="00") THEN y<=a;

ELSIF (S="01") TH EN y<=b;

ELSIF (S="10") TH EN y<=c;

ELSIF (S="11") TH EN y<=d;

ELSE y<=NULL;

END IF;

EDN PROCESS;

END ART;

LIBRARY IEEE;

USE MUX41 IS

PORT(s:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入选择信号a,b,c,d:IN STD_LOGIC; --输入信号

y:OUT STD_LOGIC);--输出端

END MUX41;

ARCHITECTURE ART OF MUX41 IS

BEGIN

PROCESS(s)

BEGIN

CASE s IS

WHEN “00” => y<=a;

WHEN “01” => y<=b;

WHEN “10” => y<=c;

WHEN “11” => y<=d;

WHEN OTHERS =>NULL;

END CASE;

END PROCESS;

END ART;

4-3. 图3-31所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s='0'和'1'时,分别有y<='a'和y<='b'。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。

4-3.答案

LIBRARY IEEE;

USE MUX221 IS

PORT(a1,a2,a3:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入信号s0,s1:IN STD_LOGIC;

outy:OUT STD_LOGIC);--输出端

END ENTITY;

ARCHITECTURE ONE OF MUX221 IS

SIGNAL tmp : STD_LOGIC;

BEGIN

PR01:PROCESS(s0)

BEGIN

IF s0=”0” THEN tmp<=a2;

ELSE tmp<=a3;

END IF;

END PROCESS;

PR02:PROCESS(s1)

BEGIN

IF s1=”0” THEN outy<=a1;

ELSE outy<=tmp;

END IF;

END PROCESS;

END ARCHITECTURE ONE;

END CASE;

4-4.下图是一个含有上升沿触发的D触发器的时序电路,试写出此电路的VHDL设计文件。

4-4.答案

LIBRARY IEEE;

USE MULTI IS

PORT(CL:IN STD_LOGIC; --输入选择信号

CLK0:IN STD_LOGIC; --输入信号

OUT1:OUT STD_LOGIC);--输出端

END ENTITY; ARCHITECTURE ONE OF MULTI IS SIGNAL Q : STD_LOGIC; BEGIN

PR01: PROCESS(CLK0)

BEGIN

IF CLK ‘EVENT AND CLK=’1’THEN Q<=NOT(CL OR Q);ELSE END IF;

END PROCESS;

PR02: PROCESS(CLK0)

BEGIN

OUT1<=Q;

END PROCESS;

END ARCHITECTURE ONE;

END PROCESS;

4-5.给出1位全减器的VHDL描述。要求:

(1) 首先设计1位半减器,然后用例化语句将它们连接起来,图3-32中h_suber 是半减器,diff是输出差,s_out是借位输出,sub_in是借位输入。

(2) 以1位全减器为基本硬件,构成串行借位的8位减法器,要求用例化语句来完成此项设计(减法运算是 x – y - sun_in = diffr)

4-5.答案

底层文件1:实现或门操作

LIBRARY IEEE;

USE or2a IS

PORT(a,b:IN STD_LOGIC;

c:OUT STD_LOGIC);

END ENTITY or2a;

ARCHITECTURE one OF or2a IS

BEGIN

c <= a OR b;

END ARCHITECTURE one;

底层文件2:实现一位半减器

LIBRARY IEEE;

USE h_subber IS

PORT(x,y:IN STD_LOGIC;

diff,s_out::OUT STD_LOGIC);

END ENTITY h_subber;

ARCHITECTURE ONE OF h_subber IS

SIGNAL xyz: STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN

xyz <= x & y;

PROCESS(xyz)

BEGIN

CASE xyz IS

WHEN "00" => diff<='0';s_out<='0';

WHEN "01" => diff<='1';s_out<='1';

WHEN "10" => diff<='1';s_out<='0';

WHEN "11" => diff<='0';s_out<='0'; WHEN OTHERS => NULL;

END CASE;

END PROCESS;

END ARCHITECTURE ONE;

顶层文件:实现一位全减器

LIBRARY IEEE;

USE f_subber IS

PORT(x,y,sub_in:IN STD_LOGIC; diffr,sub_out:OUT STD_LOGIC);

END ENTITY f_subber; ARCHITECTURE ONE OF f_subber IS COMPONENT h_subber

PORT(x,y:IN STD_LOGIC;

diff,S_out:OUT STD_LOGIC);

END COMPONENT;

COMPONENT or2a

PORT(a,b:IN STD_LOGIC;

c:OUT STD_LOGIC);

END COMPONENT;

SIGNAL d,e,f: STD_LOGIC;

BEGIN

u1: h_subber PORT MAP(x=>x,y=>y,diff=>d,s_out=>e);

u2: h_subber PORT MAP(x=>d,y=>sub_in,diff=>diffr,s_out=>f); u3: or2a PORT MAP(a=>f,b=>e,c=>sub_out);

END ARCHITECTURE ONE;

END ARCHITECTURE ART;

4-6.根据下图,写出顶层文件的VHDL设计文件。

4-6.答案

MAX3256顶层文件

LIBRARY IEEE;

USE MAX3256 IS

PORT (INA,INB,INCK: IN STD_LOGIC;

INC: IN STD_LOGIC;

E,OUT:OUT STD_LOGIC);

END ENTITY MAX3256;

ARCHITECTURE ONE OF MAX3256 IS COMPONENT LK35 --调用LK35声明语句

PORT(A1,A2:IN STD_LOGIC;

CLK:IN STD_LOGIC;

Q1,Q2:OUT STD_LOGIC);

END COMPONENT;

COMPONENT D --调用D触发器声明语句

PORT(D,C:IN STD_LOGIC;

CLK:IN STD_LOGIC;

Q:OUT STD_LOGIC);

END COMPONENT;

COMPONENT MUX21--调用二选一选择器声明语句

PORT(B,A:IN STD_LOGIC;

S:IN STD_LOGIC;

C:OUT STD_LOGIC);

END COMPONENT;

SIGNAL AA,BB,CC,DD: STD_LOGIC;

BEGIN

u1: LK35 PORT MAP(A1=>INA,A2=>INB,CLK=INCK, Q1=>AA,Q2=>BB); u2: D PORT MAP(D=>BB;CLK=>INCK,C=>INC,Q=>CC);

u3: LK35 PORT MAP (A1=>BB,A2=>CC,CLK=INCK, Q1=>DD,Q2=>OUT1);u4: MUX21 PORT MAP (B=>AA,A=>DD,S=>BB,C=>E);

END ARCHITECTURE ONE;

设计含有异步清零和计数使能的16位二进制加减可控计数器。

4-7.答案:

LIBRARY IEEE;

USE CNT16 IS

PORT(CLK,RST,EN:IN STD_LOGIC;

CHOOSE:IN BIT;

SETDATA:BUFFER INTEGER RANCE 65535 DOWNTO 0; COUT: BUFFER INTEGER RANCE 65535 DOWNTO 0);

END CNT16;

ARCHITECTURE ONE OF CNT16 IS

BEGIN

PROCESS(CLK,RST,SDATA)

VARIABLE QI:STD_LOGIC_VECTOR(65535 DOWNTO 0); BEGIN

IF RST='1' THEN --计数器异步复位

QI:=(OTHERS=>'0');

ELSIF SET=’1’ THEN--计数器一步置位

QI:=SETDATA;

ELSIF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿IF EN=’1’ THEN –检测是否允许计数

IF CHOOSE=’1’ THEN --选择加法计数

QI:=QI+1; --计数器加一

ELSE QI=QI-1; --计数器加一

END IF;

END IF;

END IF;

COUT<=QI;--将计数值向端口输出

END PROCESS;

END ONE;

第五章

5-1 归纳利用Quartus II进行VHDL文本输入设计的流程:从文件输入一直到SignalTap II测试。P95~P115

答:1 建立工作库文件夹和编辑设计文件;2 创建工程;3 编译前设置;4 全程编译;5 时序仿真;6 引脚锁定;7 配置文件下载;8 打开SignalTap II编辑窗口;

9 调入SignalTap II的待测信号;10 SignalTap II参数设置;11 SignalTap II 参数设置文件存盘;12 带有SignalTap II测试信息的编译下载;13 启动SignalTap II进行采样与分析;14 SignalTap II的其他设置和控制方法。

电子设计自动化技术及其应用简述

龙源期刊网 https://www.360docs.net/doc/ff14736875.html, 电子设计自动化技术及其应用简述 作者:张彦超 来源:《科技创新与应用》2013年第07期 摘要:随着计算机和电子技术的广泛应用,电子工程的发展已经呈现出超速发展的态势。其中,EDA技术成为了现如今电子工程技术之中的一个重要科学技术。文章主要阐述了EDA 技术的概念、特点、作用以及其设计的领域。 关键词:EDA;自动化;硬件设计 1 电子设计自动化技术的基本含义及现状 电子设计自动化(Electronic Design Automation,EDA)技术是以计算机科学和微电子技 术发展为先导,汇集了计算机图形学、拓扑逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术,它是在先进的计算机工作平台上开发出的一整套电子系统设计的软件工具。从上个世纪中叶开始,相关的科学技术人员相继研发出种类繁多的计算机辅助设计工具,通过这些工具帮助设计研究人员完成电子系统和集成电路的作业设计,也正是因为这样,EDA技术的发展在集成电路飞速发展的严峻形势下,也不得不完成自身技术的创新 与飞跃,为更大规模庞大的集成电路系统设计与制造提供更多的支持,以此来适应社会生活和生产的需要。 EDA是从20世纪60年代中期的计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念中中发展过来的,它是电子设计自动化的缩写。EDA技术就是以计算机作为主要工具,在EDA软件的工作平台上,设计者使用硬件描述语言VHDL来进行设计,而后由计算机自动的完成逻辑编译、化简、分割、综合、 优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。这一技术是电子计算机、微电子操作技术、电路知识、信息收集分析和信号处理等多项技术的结晶。 如今对EDA概念的诠释延伸了很多领域,不仅仅局限于某一行业内。在机械、通信、电子、航空航天、矿产、化工、医学、生物、军事等领域内,都会发现有EDA技术的身影。EDA在教学、科研、产品设计与制造等多个方面都能够发挥出其重要的作用。在教学方面, 现在EDA课程几乎都要遍及到所有理工科类的高等院校,是一项热门的科学专业。学校传授的EDA技术,主要是要让学生认识、了解EDA的基本概念和基本的理论,能够掌握运用HDL语言编写简单的规范、了解掌握逻辑综合的理论和算法,能够运用EDA技术平台独立进行电子电路课程的实验验证并从事简单系统的设计。一般学习电路仿真工具(如multiSIM、PSPICE)和PLD开发工具(如Altera/Xilinx的器件结构及开发系统)。科研方面主要利用电路仿真工具(multiSIM或PSPICE)进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。在产品设计与制造

电子设计自动化在电子设计中的应用

电子设计自动化在电子设计中的应用 发表时间:2017-01-09T14:50:10.380Z 来源:《基层建设》2016年27期作者:黄本锐 [导读] 利用EDA集成设计环境,可以使得电子设计流程全自动实现。本文结合EDA的相关特点和理论,研究了使用EDA进行电子设计的方法。 广西地凯科技有限公司广西南宁 530003 摘要:在电子设计自动化(EDA)工程中,用硬件描述语言表达设计思想,用计算机进行模拟、仿真,可测试设计把测试器件设计到芯片系统内部,实现了内建自测试功能。利用EDA集成设计环境,可以使得电子设计流程全自动实现。本文结合EDA的相关特点和理论,研究了使用EDA进行电子设计的方法。 关键词:电子设计自动化;电子设计;应用 前言 以往电子产品的设计方法为:设计项目经过工程估算,实验板搭试、调整,印刷电路板设计与制作,装配与调试,用逻辑分析仪、示波器等电子仪器进行性能测试,若测试不符合设计要求则从头开始,进行新一轮的设计开发过程,直到达到设计要求。这种循环有时需要3-4次,整个过程全由人工完成,使得新产品的开发周期很长。EDA工程的出现,现代电子设计方法的应用,加快了产品开发速度。可以说现代电子设计技术的核心就是EDA工程,它的出现促进了电路模拟技术的发展。 1、EDA技术概述 1.1 EDA技术特征 概括来说,EDA的主要特征是:硬件采用工作站和高档微机;软件采用EDA 212具,功能包括:原理图输入、硬件描述语言输入、波型输入、仿真设计、可测试设计、逻辑综合、形式验证、时序分析等各个方面。设计方法采用自顶向下的方法,设计工作从高层开始,使用标准化硬件描述语言(VHDL)描述电路行为,自顶向下跨过各个层次,完成整个电子系统设计。 此外EDA还提供了良好的逻辑综合与优化功能,它能够将设计人员设计的逻辑级电路图自动地转换为门级电路,并生成相应的网表文件、时序分析文件和各种报表,若设计没有错误,最终生成可以编程下载的文件。 1.2 EDA的技术优势 一是用HDL对数字系统进行抽象的行为与功能描述以及具体的内部线路结构描述,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期[1]。 二是EDA技术中最为瞩目的功能,即最具现代电子设计技术特征的功能是日益强大的逻辑设计仿真测试技术。EDA仿真测试技术只需通过计算机,就能对所设计的电子系统从各个不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后.还能对系统上的目标器件进行所谓边界扫描测试。 三是开发技术标准化、规范化,具有良好的可移植与可测试性。EDA技术的设计语言是标准化的,不会由于设计对象的不同而改变。 2、EDA在电子设计中的设计思路 2.1电路设计 设计人员首先确定设计方案,并选择能实现该方案的合适元器件,然后根据元器件设计电路原理图,接着进行第一次仿真,其中包括数字电路的逻辑模拟、故障分析等。其作用是在元件模型库的支持下检验设计方案在功能方面的正确性。 仿真通过后,根据原理图产生的电路连接网络表进行印刷电路板的自动布局布线。在制作PCB之前,还可以进行PCB后分析,并将分析结果反馈回电路图;进行第三次仿真,称之为后仿真。其作用是检验PCB在实际工作环境中的可行性。 2.2系统级设计 基于系统级的EDA设计方法其主要思路是采用“自顶向下”的设计方法,使开发者从一开始就要考虑到产品生产周期的诸多方面,包括质量、成本、开发周期等因素。第一步从系统方案设计入手,在项层进行系统功能划分和结构设计;第二步用VHDL、Vcrilog-HDL等硬件描述语言对高层次的系统行为进行描述;第三步通过编译器形成标准的VHDL文件,并在系统级验证系统功能的设计正确性;第四步用逻辑综合优化工具生成具体的门级电路网络表,这是将高层次描述转化为硬件电路的关键:第五步将利用产品的网络表进行适配前的时序仿真;最后是系统的物理实现级,它可以是CPLD、FPGA或ASIC[2]。 3、EDA在电子设计中的应用 3.1源程序的编辑和编译 利用EDA技术进行一项电子工程设计,首先需利用EDA工具的文本编辑器或图形编辑器将它用文本方式或图形方式表达出来,进行排错编译,变成VHDI。文件格式,为进一步的逻辑综合做准备。常用的源程序输入方式有三种:一是原理图输入方式:利用EDA工具提供的图形编辑器以原理图的方式进行输入,原理图输入方式比较容易掌握,直观且方便。 二是状态图输入方式:以图形的方式表示状态图进行输入。当填好时钟信号名、状态转换条件、状态机类型等要素后,就可以自动生成VHDL程序。这种设计方式简化了状态机的设计,比较流行。 三是VHDL软件程序的文本方式:最一般化、最具普遍性的输入方法,任何支持VHDL的EDA工具都支持文本方式的编辑和编译。 3.2逻辑综合和优化 所谓逻辑综合,就是将电路的高级语言描述(如HDL原理图或状态图形的描述)转换成低级的,可与FPGA/CPLD或构成ASIC的门阵列基本结构相映射的网表文件。逻辑映射的过程,就是将电路的高级描述,针对给定硬件结构组件,进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述文件。而网表文件就是按照某种规定描述电路的基本组成及如何相互连接的关系的文件。 3.3目标器件的布线/适配 适配所选定的目标器件(FPGA/CPLD芯片)必须属于原综合器指定的目标器件系列。对于一般的可编程模拟器件所对应的EDA软件来说,一般仅需包含一个适配器就可以了,如Lattice的PAC—DESIGNER。通常,EDA软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商自己提供,因为适配器的适配对象直接与器件结构相对应[3]。

电子设计自动化技术教学计划

《电子设计自动化技术》 课程教学计划 (90学时) 一、课程性质和任务 本课程是我校主干专业《电子电器应用与维修》专业的新课程。其任务是:使学生掌握电子设计自动化技术的基础知识,具备电子线路方面的计算机应用能力、电路的基本仿真设计能力和印制线路板的设计能力,并为培养学生的创新能力和全面素质打下良好的基础。 二、课程教学目标 (一) 知识教学目标 1. 理解电子设计自动化(EDA) 技术的基本概念。 2. 了解电子行业应用EDA技术的概况。 3. 进一步理解电子线路的电路结构、原理和特性。 4. 了解印制线路板的设计原则和制作工艺。 (二) 能力培养目标 1. 能正确使用EDA工具软件。 2. 能绘制符合规范要求的电原理图和印制线路板图。 3. 会对简单的电子线路进行仿真设计。 (三) 思想教育目标 1. 具有规范操作的意识和认真细致的工作作风。 2. 具有理论联系实际的能力和一定的创新精神和创业能力。 三、教学内容和要求 基础模块 (一) 绪论 1. 了解EDA技术的基本概念、发展与应用概况,了解常用EDA软件,了解本课程的主要内容和学习方法。 2. 了解电路仿真和PCB辅助设计在EDA技术中的作用和地位。 (二) 电路仿真软件基础 1. 了解仿真软件基本界面和帮助信息。 2. 掌握文件打开与建立、元器件操作、连线、文件存盘与退出、查看分析结

果和电路图输出等基本操作。 3. 理解子电路的含义及功能,掌握子电路的设计和使用方法。 (三) 仿真虚拟仪器使用 1. 了解数字万用表、函数信号发生器、示波器、扫频仪、信号发生器、逻辑分析仪和逻辑转换仪等虚拟仪器的面板功能。 2. 掌握电路仿真虚拟仪器的参数设置、连接、显示调整以及测量结果记录等方法。 (四) 电路仿真分析与设计 1. 掌握仿真元件参数的设置方法及器件的替换方法。 2. 了解仿真软件的重要分析方法对电路设计的作用。 3. 掌握静态工作点的分析、AC分析、瞬态分析、参数扫描分析等常用分析方法。 4. 了解常用器件建模过程,了解在互联网上获取新器件仿真模型的方法,并将其引入仿真软件中。 5. 了解晶体管、常用模拟集成电路、数字集成电路的建模方法及过程,了解常用新器件的模型收集方法。 6. 了解仿真结果的应用方法。 7. 了解将仿真结果进行再处理和应用到技术文档中的方法。 8. 了解电路网络表文件与印制线路板设计之间的联系,加深对EDA技术的理解。 (五) 印制线路板基础知识 1. 了解印制线路板的基本概念、种类和制作工艺。 2. 了解印制线路板设计的基本过程。 3. 理解印制线路板布局原则。 4. 理解印制线路板布线原则。 (六) 原理图编辑 1. 了解原理图设计软件的基本界面和各种编辑器。 2. 掌握原理图设计软件的启动、文件管理、工作环境设置。 3. 掌握原理图设计软件的电路元件、电源、I/O端口的放置与连接。 4. 理解总线、网络标号的概念,掌握总线的应用。 5. 理解层次图的概念及作用,掌握层次图的应用。 6. 理解电气规则检查的作用,掌握电气规则检查的方法。 7. 理解网络表文件的概念和作用,掌握网络表文件和元件清单的生成方法。 8. 掌握元件的设计方法。 9. 了解原理图输出参数的设置,掌握原理图的输出方法。 10. 学会原理图编辑的文件操作、元件调用和连线操作。 11. 学会总线和网络标号的应用。 12. 能绘制原理图。 (七) PCB设计软件 1. 了解印制线路板的设计流程。 2. 理解原理图、网络表和PCB元件之间的匹配问题。 3. 掌握网络表文件的修改方法。 4. 理解印制线路板的设计规则,掌握印制线路板的设计基本规则。 5. 掌握印制线路板手工布线的方法。

电子设计自动化发展前景

电子设计自动化发展前景 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。以下是小编为大家整理的电子设计自动化发展前景范文,欢迎阅读参考。希望对您有所帮助! 电子设计自动化发展前景 子元器件发展史其实就是一部浓缩的电子发展史。电子技术是十九世纪末、二十世纪初开始发展起来的新兴技术,二十世纪发展最迅速,应用最广泛,成为近代科学技术发展的一个重要标志。 第一代电子产品以电子管为核心。四十年代末世界上诞生了第一只半导体三极管,它以小巧、轻便、省电、寿命长等特点,很快地被各国应用起来,在很大范围内取代了电子管。五十年代末期,世界上出现了第一块集成电路,它把许多晶体管等电子元件集成在一块硅芯片上,使电子产品向更小型化发展。集成电路从小规模集成电路迅速发展到大规模集成电路和超大规模集成电路,从而使电子产品向着高效能低消耗、高精度、高稳定、智能化的方向发展。由于,电子计算机发展经历的四个阶段恰好能够充分说明电子技术发展的四个阶段的特性,所以下面就从电子计算机发展的四个时代来说明电子技术发展的四个阶段的特点。

我国电子元件的产量已占全球的近39%以上。产量居世界第一的产品有:电容器、电阻器、电声器件、磁性材料、压电石英晶体、微特电机、电子变压器、印制电路板。 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。 我国电子材料和元器件产业存在一些主要问题:中低档产品过剩,高端产品主要依赖进口;缺乏核心技术,产品利润较低;企业规模较小,技术开发投入不足。 光电子器件组装的自动化技术将是降低光电子器件成本的关键。手工组装是限制光电子器件的成本进一步下降的主要因素。自动化组装可以降低人力成本、提高产量和节约生产场地,因此光电子器件组装的自动化技术的研究将是降低光电子器件成本的关键。由于光电子器件自动化组装的精度在亚微米量级,自动化组装生产一直被认为是很困难的事,但近来有很大突破。国外的学术期刊已多次报道在VCSEL、新型光学准直器件和自对准等技术进步基础上,光器件自动化组装实现的突破,同时专门针对自动化组装的光电子器件设计也正在兴起。2002年OFC展览会上有十多家自动封装、自动熔接设备厂商参展,熔接、对准、压焊等许多过去认为只能由人工操作的工艺现在都能由机械手进行。据ElectroniCast预测,到2005年自动化组装与测试设备的销量将达17.1亿美元,光电子器件产值中

电子设计自动化技术试卷1答案

试卷 第 1 页 共 1 页 试卷答案: 一、 选择题 1 2 3 4 5 6 7 8 9 10 D C A D A A A A A D 二、名词解释,写出下列缩写的英文全称和中文含义 1. EDA EDA Electronic Design Automation 电子设计自动化 2. CPLD Complex Programmable Logic Device 复杂可编程逻辑器件 3. SOPC System-on-a-Programmable-Chip 可编程片上系统 4 IEEE Institute of Electrical and Electronics Engineers 电气和电子工程师协会 5 FPGA Field -Programmable Gate Array 现场可编程门阵列 6 PLD Programmable Logic Device 可编程逻辑器件 7. JTAG JTAG Joint Test Action Group 联合测试行动小组 8. IP Intellectual Property 知识产权 9. ASIC Application Specific Integrated Circuits 专用集成电路 10. VHDL Very-High-Speed Integrated Circuit Hardware Description Language 超高速集成电路硬件描述语言 每小题写出英文全称2分,中文含义1分 三、 VHDL 程序填空 1 SEG7DEC "1101101" "1111111" 2 '1' WHEN A='0' AND B='1' ELSE 3 0 ENA='1' outy+1 4 0000000000000000 D sreg(1 5 downto 1)<=sreg(14 downto 0) 每空3分 四、 1. 时序电路的初始状态常常由复位信号来设置。根据复位信号复位时机的不同,可将复位分为同步复位和异步复位两种(4分)。所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,电路才被复位(3分),此时复位的状态与时钟同步,有助于信号的稳定和系统毛刺的消除;异步复位状态与时钟状态不要求同步,一旦复位信号有效,电路就被复位(3分)。 2. 主要PLD 厂商: 1) Altera :Altera 公司在20世纪90年代以后发展很快,是最大的可编程逻辑器件供应商之一。 (2分) 2) Xilinx: FPGA 的发明者,老牌PLD 公司,是最大的可编程逻辑器件供应商之一。(2分) 3) Lattice (2分) CPLD/FPGA 器件的开发设计一般可以分为设计输入(1分)、设计实现(1分)、设计校验(1分)和下载编程(1分)四个步骤。

电子设计自动化课程设计报告

电子设计自动化课程设计报告

电子设计自动化课程设计报告

学生姓名: 学号: 课设题目: VGA彩条信号显示控制器设计同组人:

电子设计自动化课程设计报告 郝欣欣 一、课程设计内容 1、使用Verilog语言和Modelsim仿真器完成可显示横彩条、竖彩条、棋盘格相间的VGA控制器的设计和验证 2、设计并验证可显示英语单词”HIT”的VGA 控制器 3、使用Quartus II和SOPC实验箱验证设计的正确性 4、Verilog代码要符合微电子中心编码标准 二、FPGA原理 CPLD、FPGA是在PAL、GAL等基础上发展起来的一种具有丰富的可编程I/O 引脚、逻辑宏单元、门电路以及RAM空间的可编程逻辑器件,几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。CPLD的设计是基于乘积项选择矩阵来实现的,而FPGA基于查找表来设计的。查找表就是实现将输入信号的各种组合功能以一定的次序写入RAM中,然后在输入信号的作用下,输出特定的函数运算结果。其结构图如图1所示: 图1. FPGA查找表单元 一个N输入查找表(LUT,Look Up Table)可以实现N个输入变量的任何逻辑功能,如N输入“与”、N输入“异或”等。

输入多于N个的函数、方程必须分开用几个查找表(LUT)实现(如图2 所示)。 图2 FPGA查找表单元内部结构 该系统设计中,FPGA芯片用的是ALTERA公司的EP1K30QC208-2,它的系统结构如图3所示。它由若干个逻辑单元和中央布线池加I/O端口构成

图3 EP1K30QC208内部结构 三、VGA接口 VGA的全称为Video Graphic Array,即显示绘图阵列。在PC行业发展的初期,VGA以其支持在640X480的较高分辨率下同时显示16种色彩或256种灰度,同时在320X240分辨率下可以同时显示256种颜色的良好特性得到广泛支持。后来,厂商们纷纷在VGA基础上加以扩充,如将显存提高至1M并使其支持更高分辨率如800X600或1024X768,这些扩充的模式就称之为VESA(Video Electronics Standards Association,视频电子标准协会)的Super VGA模式,简称SVGA,现在的显卡和显示器都支持SVGA模式。 图4 VGA接口 VGA接口就是显卡上输出模拟信号的接口,也叫D-Sub接口。VGA接口是一种D型接口,上面共有15针空,分成三排,每排五个。VGA接口是显卡上应用最为广泛的接口类型,绝大多数的显卡都带有此种接口。 表1 VGA管脚定义 管脚定义 1 红基色 red 2 绿基色 green 3 蓝基色 blue 4 地址码 ID Bit 5 自测试 (各家定义不同)

eda 电子设计自动化

一、如图1所示,电路为二级电压串联负反馈的放大电路,其中Vs为V AC/SOURCE,其属性设置为默认值。三极管Q2N3904的模型参数为默认值。试用EWB软件作如下的分析:(1)求直流工作点;(2)求无负反馈(即无电阻Rf)时的输入电阻、输出电阻、电压增益和上限截止频率;(3)当电阻Rf分别为6.2kΩ、15kΩ和30kΩ时的反馈深度,并总结反馈深度对放大电路性能的影响。(25分) Re1 300Rb4 20k Rs 200 Rf 6.2k Vcc 12Vdc Ce2 10u Ce1 10u R5 5k Q2 Q2N3904 Cb2 2.2u Rb3 300k Vout Q1 Q2N3904 Vs 1Vac 0Vdc Cb1 2.2u Vin Re2 680 Rb1 300k Cb3 2.2u Re3 820 Rc1 5.1k R2b 20k Rc2 5.1k 解:(1)直流工作点 由图知:I CQ1=124.345uA I CQ2=140.332uA 计算知:U CEQ1=V CC-I CQ1(R C1+R E1+R E2)=11.244V

U CEQ2=V CC-I CQ2(R C2+R E3)=11.189V (2)无负反馈时的输入电阻、输出电阻、电压增益和上限截止频率 a、输入电阻 由图知:Ui=9.865mA Ii=673.469nA 计算得:Ri=Ui/Ii=14.6kΩ b、输出电阻 由下页图知:Uo=11.665pV Io=2.334fA 计算得:Ro=Uo/Io=5kΩ

C、电压增益 d、上限截止频率 查书得:f=1/(2×3.14×Rs×C)=3.6MhzMhz Rs=200Ω C=2.2uF (3)当电阻Rf分别为6.2kΩ、15kΩ和30kΩ时的反馈深度

电子设计自动化技术课件答案

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12

电子设计自动化学习心得范文

电子设计自动化学习心得范文 通过这一周的课程设计,我对一些专业知识和电子设计有了更深的了解,同时也尝试着去应用自己的所掌握的知识。本次电子课程设计主要是对本学年学习的模拟电子技术和数字电子技术的应用,同时加上电路等知识,设计一些课题。下面就是带来的电子设计自动化学习心得,希望大家喜欢。 电子设计自动化学习心得1 随着科学飞速发展,电气自动化在日常生活工作中的地位日益提高,电子的高度集成、电气设备的飞速更新,使得我在工作中的专业知识很难跟上科技的步伐,通过黑龙_0_年度专业技术人员继续教育知识更新的培训学习的不同课程,使我的专业知识得到巩固和提高,在工作中遇到的技术难题的以解决,也让我深刻体会到电气自动化对人类工业发展的重要性,在这次培训中我所体会的心得如下: 20世纪科学技术迅速发展,至如今已经涌现出众多新兴科学技术分支:计算机和信息论;如控制论和自动化技术;分子生物学和遗传工程;激光技术和光导纤维;宇航科技等等。它们汇聚成一股巨大的力量,急剧地改变着人类的劳动方式和生活方式,促进社会各方面产生深刻的变化。它不仅冲击着生产第一线的工人

和农民,而且冲击着企业、事业、政府机关,甚至家庭主妇。这些变革来得如此之快,致使对社会现象最为敏感的社会学家也感到愕然:这个社会将去向何处?从科学意义上来看,人类社会无一不是能量交换和信息交换的有机组合,当我们详尽地了解了人类社会各种具体的特殊规律之后,都可以用具有这两种功能的机器来完成,这便是自动化技术。所以从某种意义上来说,自动化就是现代化的代名词。由此可以断言,人类社会历经原始的人力时代,走过精密的机械时代,如今正处于一个新的变革时期,而这次变革的终点便是振奋人心的自动化时代。之所以说它“振奋人心”,是因为在自动化时代里,几乎所有的生产活动都可以用机器来完成,人类劳动生产率将得到大大的提高,社会财富将极大丰富。只有在此时,人类才能摆脱为了糊口而不得不从事的强迫劳动,生产力得到全面的解放,使实现共产主义真正成为可能。 我国古代的指南车、木牛流马、铜壶滴漏,欧洲的钟表报时装置和一些手工机械,无一不反映人民的聪明智慧,多少都带有一些“自动”的味道。但真正刻意设计出来取代或增强人的智能功能,从而能在不确定的条件下保证实现预定目标的自动装置最早应属瓦特发明的蒸汽机上的离心调速器。它自觉地运用了反馈原理,从而能在锅炉压力和负荷变化的条件下把转速保持在一定的范围。

浅谈电子设计自动化的发展和未来

浅谈电子设计自动化的发展和未来 班级: 12机电二班 学号: 1201050048 姓名:孙纪伟 写作时间: 2014.11.26

电子设计自动化(EDA)的最终目的是设计出电路。电路大致分为两种:一种是基于PCB 的电路;另一种是集成电路,即IC(含PLD 和ASIC)。实现IC 和PCB 电路的思想、方法和过程就构成EDA 的全部内容。本书内容按照EDA 的层次化设计方法和知识模块组织,分为两大部分:第一部分“理论与实践”主要介绍电子设计自动化(EDA)技术基础、电子系统设计与电子组装、微电子技术与集成电路基础、系统设计与仿真、电路级设计与仿真、SPICE语言和模拟电路设计、VHDL、Verilog HDL、可编程逻辑器件(PLD)与SOPC、IC设计流程与Soc、PCB设计技术;第二部分“工具软件使用指导”主要介绍动态系统仿真软件System View Multisim电子实验工作台软件、电路原理图及PCB 设计软件ProteIDXP、电路设计与仿真软件OrCAD、ALTERA可编程器件开发系统MAX+plusⅡ、Silvaco IC设计软件介绍、Microwind IC版图设计软件。 20世纪末电子设计技术获得了飞速的发展,在其推动下现代电子产品几乎渗透到社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也变得越来越快。 现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术:即EDA Electronic Design Automation技术。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL Hardware Description Language为系统逻辑描述。手动完成的设计文件、自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合布局布线 以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现,这是电子设计技术的一个巨大进步。 另一方面,在现代高新电子产品的设计和生产中,微电子技术和现代电子设计技术是相互促进、相互推动又相互制约的两个环节,前者代表了物理层在广度和深度上硬件电路实现的发展,后者则反映了现代先进的电子理论、电子技术、仿真技术、设计工艺和设计技术与最新的计算机软件技术有机的融合和升华。因此,严格地说EDA技术应该是这二者的结合,是这两个技术领域共同孕育的奇葩。 EDA技术在硬件实现方面融合了大规模集成电路制造技术IC版图设计技术、ASIC测试和封装技术、FPGA/CPLD编程下载技术、自动测试技术等,在计算机辅助工程方面融合了计算机辅助设计CAD、计算机辅助制造CAM、计算机辅助测试CAT、计算机辅助工程CAE技术以及多种计算机语言的设计概念 而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模和优化技术及长线技术理论等。因此EDA技术为现代电子理论和设计的表达与实现提供了可能性。 在现代技术的所有领域中,纵观许多得以飞速发展的科学技术,多为计算机辅助设计,而非自动化设计。显然,最早进入设计自动化的技术领域之一是电子技术,这就是为什么电子技术始终处于所

电子设计自动化技术答案

第一章 1-1 EDA技术与ASIC设计与FPGA开发有什么关系? P3~4 答:利用EDA技术进行电子系统设计的最后目标就是完成专用集成电路ASIC的设计与实现;FPGA与CPLD就是实现这一途径的主流器件。FPGA与CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA与CPLD的应用就是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)与ASIC设计,以及对自动设计与自动实现最典型的诠释。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标就是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性与创造性,它不就是机械的一一对应式的“翻译”,而就是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么就是综合?有哪些类型?综合在电子设计自动化中的地位就是什么? P5 什么就是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为与功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位就是什么? 答:就是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库与约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义就是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用与发展中的意义就是什么? P11~12

电子设计自动化课程总结

电子设计自动化课程总结 班级:自动化0901 姓名:石亚文 学号:200902020118

我对电子设计自动化比较感兴趣,所以在开学之初选了这门公选课,经过16周的学习和老师耐心的教导,我对电子设计自动化有了一定的了解与认识。下面我将对本门课程阐述几点: EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA 技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 《EDA技术》主要介绍EDA技术中最常用的两个工具软件——Protel 2004和Multisim 7。Protel 2004是Altium公司推出的第一套完整的板卡级设计系统,由于Protel进入我国较早,已成为国内电子设计者的首选软件。Multisim 7是加拿大图像交互技术公司(IIT公司)推出的以Windows为基础的电子线路仿真工具,以其操作简单、实用性强的特点成为高校电子工程类专业学生的必修课程。 首先,熟悉了几种仿真工具: 1SPICE. SPICE可对电路进行非线性直流分析、非线性瞬态分析和线性交流分析。被分析的电路中的元件可包括电阻、电容、电感、互感、独立电压源、独立电流源、各种线性受控源、传输线以及有源半导体器件。SPICE内建半导体器件模型,用户只需选定模型级别并给出合适的参数。SPICE模型由两部分组成:模型方程式(Model Equations)和模型参数(Model Parameters)。由于提供了模型方程式,因而可以把SPICE模型与仿真器的算法非常紧密地联接起来,可以获得更好的分析效率和分析结果。SPICE模型的分析精度主要取决于模型参数的来源(即数据的精确性),以及模型方程式的适用范围。而模型方程式与各种不同的数字仿真器相结合时也可能会影响分析的精度。除此之外,PCB板级的SPICE模型仿真计算量较大,分析比较费时。 2 Multisim Multisim是美国国家仪器(NI)有限公司推出的以Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim 提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 3MATLAB MATLAB是矩阵实验室(Matrix Laboratory)的简称,是美国MathWorks公司出品的商业数学软件,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB和Simulink两大部分。MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学计算软件的先进水平。

电子设计自动化技术重点知识

电子设计自动化技术 题库 一、填空题 1.软件环境要求运行在Windows 98/2000/NT或者更高版本操作系统下。硬件环境要求P166CPU/RAM32MB/HD剩余400MB以上,显示分辨率为1024×768。 2.Protel99 SE主要由原理图设计模块Schematic模块,印制电路板设计模块PCB设计模块,电路信号仿真模块和PLD逻辑器设计模块组成。 3.文件管理,Protel9 SE的各菜单主要是进行各种文件命令操作,设置视图的显示方式以及编辑操作。系统包括File,Edit, View, Windows和Help共5个下拉菜单。 4.Protel99 SE提供了一系列的工具来管理多个用户同时操作项目数据库。每个数据库默认时都带有设计工作组Design Team,其中包括Members,Permissions,Sessions3个部分。Members自带两个成员: 系统管理员Admin和客户(Guest)。系统管理员可以进行修改密码,增加访问成员,删除设计成员,修改权限等操作。 5.Protel99 SE主窗口主要由标题栏,菜单栏,工具栏,设计窗口,文档管理器,浏览管理器,状态栏以及命令指示栏等部分组成。 6.原理图设计窗口顶部为主菜单和主工具栏,左部为设计管理器Design Manager,右边大部分区域为编辑区,底部为状态栏和命令栏,中间几个浮动窗口为常用工具。除主菜单外,上述各部件均可根据需要打开或关闭。 7.图纸方向:设置图纸是纵向和横向。通常情况下,在绘图及显示时设为横向,在打印时设为纵向。 8.网格设置。Protel99 SE提供了线状网络(Lines)和点状网络(Dots)两种不同的网状的网格。 9.执行菜单命令“Design\Options”,在弹出的“Document options”对话框中选择“Organization”选项卡中,可以分别填写设计单位名称,单位地址,图纸编号及图纸的总数,文件的标题名称以及版本号或日期等。 10.原理图设计工具包括画总线、画总线进出点、放置元件、放置节点、放置电源、画导线、放置网络名称、放置输入/输出点、放置电路方框图、放置电路方框进出点等内容。 11.实体放置与编辑包括导线、总线、元件、网络标号、电源与地线、节点、文字与图形的放置与编辑。 12.网络表的内容只要是电路图中各元件的数据以及元件间网络连接的数据。网络表非常重要,在PCB制版图的设计中是必须的。 13.元件列表主要用于中整理一个电路或一个项目文件中的所有文件,它主要包括元件的名称、标注、封装等内容。 14.ERC表是电气规则检查表,用于检查电路图是否有问题。 15.通过原理图元件库编辑器的制作工具来绘制(创建)和修改一个元件图形。

浅谈电子设计自动化的发展和未来

浅谈电子设计自动化的发展与未来 班级: 12机电二班 学号: 1201050048 姓名: 孙纪伟 写作时间: 2014、11、26

电子设计自动化(EDA)的最终目的就是设计出电路。电路大致分为两种:一种就是基于PCB 的电路;另一种就是集成电路,即IC(含PLD 与ASIC)。实现IC 与PCB 电路的思想、方法与过程就构成EDA 的全部内容。本书内容按照EDA 的层次化设计方法与知识模块组织,分为两大部分:第一部分“理论与实践”主要介绍电子设计自动化(EDA)技术基础、电子系统设计与电子组装、微电子技术与集成电路基础、系统设计与仿真、电路级设计与仿真、SPICE语言与模拟电路设计、VHDL、Verilog HDL、可编程逻辑器件(PLD)与SOPC、IC设计流程与Soc、PCB设计技术;第二部分“工具软件使用指导”主要介绍动态系统仿真软件System View Multisim电子实验工作台软件、电路原理图及PCB设计软件ProteIDXP、电路设计与仿真软件OrCAD、ALTERA可编程器件开发系统MAX+plusⅡ、Silvaco IC 设计软件介绍、Microwind IC版图设计软件。 20世纪末电子设计技术获得了飞速的发展,在其推动下现代电子产品几乎渗透到社会的各个领域,有力地推动了社会生产力的发展与社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也变得越来越快。 现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术:即EDA Electronic Design Automation技术。EDA技术就就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL Hardware Description Language为系统逻辑描述。手动完成的设计文件、自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合布局布线以及逻辑优化与仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言与EDA软件来完成对系统硬件功能的实现,这就是电子设计技术的一个巨大进步。 另一方面,在现代高新电子产品的设计与生产中,微电子技术与现代电子设计技术就是相互促进、相互推动又相互制约的两个环节,前者代表了物理层在广度与深度上硬件电路实现的发展,后者则反映了现代先进的电子理论、电子技术、仿真技术、设计工艺与设计技术与最新的计算机软件技术有机的融合与升华。因此,严格地说EDA技术应该就是这二者的结合,就是这两个技术领域共同孕育的奇葩。 EDA技术在硬件实现方面融合了大规模集成电路制造技术IC版图设计技术、ASIC测试与封装技术、FPGA/CPLD编程下载技术、自动测试技术等,在计算机辅助工程方面融合了计算机辅助设计CAD、计算机辅助制造CAM、计算机辅助测试CAT、计算机辅助工程CAE技术以及多种计算机语言的设计概念而在现代电子学方面则容纳了更多的内容,如电子线路设计理论、数字信号处理技术、数字系统建模与优化技术及长线技术理论等。因此EDA技术为现代电子理论与设计的表达与实现提供了可能性。 在现代技术的所有领域中,纵观许多得以飞速发展的科学技术,多为计算机辅助设计,而非自动化设计。显然,最早进入设计自动化的技术领域之一就是电子技术,这就就是为什么电子技术始终处于所有科学技术发展最前列的原因之一。不难理解EDA技术已不就是某一学科的分支,或某种新的技能技术,而

相关文档
最新文档