基于EDA技术的电梯控制系统设计

合集下载

EDA课程设计(电梯)

EDA课程设计(电梯)

课程设计(综合实验)报告( 2010 -- 2011 年度第 1 学期)名称:EDA课程设计题目:电梯控制的实现院系:班级:学号:学生姓名:指导教师:设计周数:1周成绩:日期:年月日一、课程设计的目的与要求用Mealy有限状态机设计二个楼层电梯控制程序。

用VHDL语言写出Mealy有限状态机控制模块。

Mealy有限状态机的输出受控于当前的状态和信号输入的变化,一旦这敏感信号被测,输出的信号就依赖于它们得到确定。

电梯控制器的工作原理:当电梯空闲时,其状态等待着其他楼层的请求,一旦有请求输入信号,电梯移动到该请求信号的楼层,这时引起电梯门被关闭。

引起电梯门关闭的条件有如下二个:①必须在地面楼层状态StateGround;②首层有请求输入信号ReqFirst。

电梯开始移动到请求层,在移动过程中State从Ground转变为GoingFirst。

当到达请求层后,电梯门被打开并且请求灯熄灭,此状态转换为First状态。

这时如有其他楼层请求信号输入将引起电梯门的关闭(如地面层有楼层请求信号ReqGround)。

当电梯门正在做关闭动作时,同时又要做重新打开电梯门的动作,其条件必须有当前楼层请求信号ReqFirst输入(其他请求信号均被忽略)。

电梯门关闭以后,电梯可再次响应其他楼层请求,即这时电梯State状态为First状态,并且又从地面层来一个请求信号ReqGround,将会引起电梯State状态从First状态改变为GoingGround状态,电梯开始往下运行。

在未到达目的地之前,其他请求信号均被忽略。

二、设计正文本设计是基于VHDL语言开发的两层电梯控制器。

以Quartus Ⅱ为开发环境,最终在EDA实验箱上实现其演示的基本功能。

其功能包括:显示电梯当前所在楼层,显示有请求发生的楼层,响应楼层请求,关门延时设置,电梯开关门显示。

具体描述为:1、电梯外部有请求开关,一楼一个,二楼一个;电梯内部有乘客到达层次的请求开关。

EDA:自动电梯控制

EDA:自动电梯控制

全自动电梯控制卢维彪020920181.设计要求设计一个4层楼房全自动电梯控制电路,其功能如下:◆每层楼电梯入口处设有上,下请求开关各1,电梯内设有乘客到达层次的停站要求开关。

◆有电梯所处位置指示装置和电梯上行,下行状态批示装置。

◆电梯每秒升(降)一层楼。

到达某一层楼时,指示该层次的灯发光,并一直保持到电梯到达新一层为止。

◆电梯到达有停站请求的楼层后,该层次的指示灯亮,经过0.5S,电梯门自动打开,开门指示灯亮,开门5S后,电梯门自动关闭(开门指示灯灭),电梯继续运行。

◆能记忆电梯内外的所有请求信号,并按照电梯运行规则次第响应,每个请求信号保留至执行后撤除。

◆电梯运行规则,电梯处于上升模式时,只响应比电梯所在位置高的层次的上楼请求信号,由下而上逐个执行,直到最后一个请示执行完毕。

如更高层次有下楼请求,则直接升到有下楼请求的楼层接客,然后便进入下降模式。

电梯处于下降模式时与之相反,仅响应比电梯所在位置低的楼层的下楼请求。

电梯执行完所有的请求后,应停在最后所在的位置不变,等待新的请求。

◆开机(接通电源)时,电梯应停留在一楼,而各种上,下请求皆被清除。

2.设计提示▲用实验板上提供的按键开关作为上楼(3个)下楼(3个)请求,以及乘客进入电梯后,要求停靠楼层的开关。

按键状态用发光二极管显示。

▲电梯所在楼层位置用数码管显示,另用二只发光二极管显示上行状态和下行状态。

▲利用发光二极管(6只)作为开门指示,其时序如下图所示。

▲电梯开门时间可以要求延长,每按一次延长键,自按键时开始延长5秒,可以连续使用。

也可提前关门(按动关门键)。

▲电梯运行过程中,不断判断前进方向是否存在上楼请求或下楼请求信号,如到达某层后,上、下方均无请求,则电梯停在该层,中止运行。

div2Hz模块(1000Hz—2Hz分频器):library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div2Hz isport(clk:in std_logic;clkout:out std_logic);end div2Hz;architecture one of div2Hz issignal count: std_logic_vector(8 downto 0);beginprocessbeginwait until clk'event and clk='1';if count<500 then count<=count+1; clkout<='0';else count<=(others=>'0'); clkout<='1';end if;end process;end architecture one;Elevator模块(控制器):library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity elevator isport( clk: in std_logic;up1,up2,up3,stop1,stop2,stop3,stop4,down4,down3,down2: in std_logic;ddelay,dclose: in std_logic;upled,downled: out std_logic;floorled,nowfloor: out std_logic_vector(3 downto 0);dopenled: out std_logic_vector(5 downto 0));end elevator;architecture bhv of elevator istype state_type is (start,run,opendoor,dopenwait2,dopenwait3,dopenwait4,dopenwait5,dopenwait6,dopenwait7,dopenwait8, dopenwait9,dopenwait10,dclse,up,down,upwait1,upwait2,downwait1,downwait2,stop); signal state : state_type;signal upm,downm,stopm,dat: std_logic_vector(3 downto 0); ---memmory of orders signal dclosem,ddelaym: std_logic;beginstate_trans: process (clk,up1,up2,up3,down4,down3,down2,stop1,stop2,stop3,stop4,upm,downm,stopm,dat,ddelay,dclose) variable position: integer range 0 to 4;beginif rising_edge(clk) thenif up1='1' then upm(0)<='1'; end if;if up2='1' then upm(1)<='1'; end if;if up3='1' then upm(2)<='1'; end if;upm(3)<='0';if down4='1' then downm(3)<='1'; end if;if down3='1' then downm(2)<='1'; end if;if down2='1' then downm(1)<='1'; end if;downm(0)<='0';if stop1='1' then stopm(0)<='1'; end if;if stop2='1' then stopm(1)<='1'; end if;if stop3='1' then stopm(2)<='1'; end if;if stop4='1' then stopm(3)<='1'; end if;if dclose='1' then dclosem<='1'; end if;if ddelay='1' then ddelaym<='1'; end if;dat<= upm or downm or stopm; ---orders from both inside and outside all savedcase state iswhen start =>if dat="0000" then state <= start; ---no order,no operation elsif position= 0 then position:=position+1; state <= run;end if;when run => ---start working if position=1 thenif stopm(0)='1' or upm(0)='1' thenstopm(0)<='0'; upm(0)<='0'; state<= opendoor;elsif dat> "0001" then state<= up;end if;elsif position=2 thenif stopm(1)='1' or upm(1)='1' or downm(1)='1' thenstopm(1)<='0'; upm(1)<='0'; downm(1)<='0'; state<= opendoor;elsif dat> "0011" then state<= up;elsif dat< "0010" then state<= down;end if;elsif position=3 thenif stopm(2)='1' or upm(2)='1' or downm(2)='1' thenstopm(2)<='0'; upm(2)<='0'; downm(2)<='0'; state<= opendoor;elsif dat> "0111" then state<= up;elsif dat< "0100" then state<= down;end if;elsif position=4 thenif stopm(3)='1' or downm(3)='1' thenstopm(3)<='0'; downm(3)<='0'; state<= opendoor;elsif dat< "1000" then state<= down;end if;end if;when up => upled<='1'; state<=upwait1; ---1 second taken to go upwhen upwait1=> state<= upwait2;when upwait2=>upled<='0'; position:=position+1; ---reach a higher floorif position=2 and (upm>"0011" or stopm>"0011") and stopm(1)='0' and upm(1)='0' then state<= up; elsif position=3 and dat>"0111" and stopm(2)='0' and upm(2)='0' then state<= up; else state<= opendoor;end if;when down=> downled<= '1'; state<= downwait1; ---1 second taken to go down when downwait1=> state<= downwait2;when downwait2=>downled<= '0'; position:=position-1; ---reach a lower floorif position=3 and (downm<"0100" or stopm<"0100") and stopm(2)='0' and downm(2)='0' then state<= down; elsif position=2 and dat<"0010" and stopm(1)='0' and downm(1)='0' then state<= down; else state<= opendoor;end if;when opendoor=> ---open door after 0.5 second if position=1 then stopm(0)<='0'; upm(0)<='0';elsif position=2 then stopm(1)<='0'; upm(1)<='0'; downm(1)<='0'; elsif position=3 then stopm(2)<='0'; upm(2)<='0'; downm(2)<='0'; elsif position=4 then stopm(3)<='0'; downm(3)<='0'; end if; ---orders from the opendoor_floor removed dopenled<="001100";if dclosem='1' then state<= dopenwait9; dclosem<='0'; elsif ddelaym='1' then state<= opendoor; ddelaym<='0'; else state<= dopenwait2;end if;when dopenwait2=>if dclosem='1' then state<= dopenwait9; dclosem<='0'; elsif ddelaym='1' then state<= opendoor; ddelaym<='0'; else state<= dopenwait3;end if;when dopenwait3=> dopenled<="011110"; state<= dopenwait4;when dopenwait4=>if dclosem='1' then state<= dopenwait9; dclosem<='0'; elsif ddelaym='1' then state<= opendoor; ddelaym<='0'; else state<= dopenwait5;end if; when dopenwait5=> dopenled<="111111"; state<= dopenwait6; when dopenwait6=>if dclosem='1' then state<= dopenwait9; dclosem<='0';elsif ddelaym='1' then state<= opendoor; ddelaym<='0';else state<= dopenwait7;end if; when dopenwait7=> dopenled<="011110"; state<= dopenwait8; when dopenwait8=>if dclosem='1' then state<= dopenwait9; dclosem<='0';elsif ddelaym='1' then state<= opendoor; ddelaym<='0';else state<= dopenwait9;end if; when dopenwait9=> dopenled<="001100"; state<= dopenwait10; ---display of the opendoor_leds when dopenwait10=>if dclosem='1' then state<= dopenwait9; dclosem<='0';elsif ddelaym='1' then state<= opendoor; ddelaym<='0';else state<= dclse;end if; when dclse=>dopenled<="000000";if dat>"0000" then state<= run;else state<= stop;end if; when stop => if dat>"0000" then state<= run;elsif ddelaym='1' then state<= opendoor; ddelaym<='0';else state<= stop;end if;end case;end if;if position=1 then nowfloor<="0001"; floorled<="0001"; elsif position=2 then nowfloor<="0010"; floorled<="0010"; elsif position=3 then nowfloor<="0011"; floorled<="0100"; elsif position=4 then nowfloor<="0100"; floorled<="1000"; else nowfloor<="0000"; floorled<="0000"; end if; ---display of floor_leds end process state_trans;end bhv;综合实现模块:library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity finalctrol is port( clk: in std_logic; up1,up2,up3,stop1,stop2,stop3,stop4,down4,down3,down2: in std_logic;ddelay,dclose: in std_logic;upled,downled: out std_logic;floorled,nowfloor: out std_logic_vector(3 downto 0);dopenled: out std_logic_vector(5 downto 0)); end finalctrol; architecture arc of finalctrol is component div2Hz port(clk: in std_logic;clkout: out std_logic);end component;component elevator port( clk: in std_logic; up1,up2,up3,stop1,stop2,stop3,stop4,down4,down3,down2: in std_logic;ddelay,dclose: in std_logic;upled,downled: out std_logic;floorled,nowfloor: out std_logic_vector(3 downto 0);dopenled: out std_logic_vector(5 downto 0));end component; signal clkwire: std_logic; beginU1: div2Hz port map(clk,clkwire); U2:elevatorportmap(clkwire,up1,up2,up3,stop1,stop2,stop3,stop4,down4,down3,down2, ddelay,dclose,upled,downled,floorled,nowfloor,dopenled);―――元件例化语句end arc;EDA综合结果:时序仿真波形:心得体会:最后是差不多做出来了,但是这个过程花费了我太多时间、精力!如果我把这些时间分一些出来复习电磁场,或许我的电磁场试卷上就不会有那么多空白、、、EDA,quartusii,是好东西,实用的东西!但是跟其他各种考试一起夹击我,还是觉得有点吃不消,压力太大、、、几乎每一步都不曾顺利,都有各种阻碍,需要我一点点地去探测它,再各种途径认识、了解它,最后克服它,整个过程,遇到的问题就太多太多了,说出来不知道可以写几页,可以说过程十分艰苦,就像在黑夜里徒步穿越一片阴森的树林、、、也怪自己基础、能力太差,不过,最后,总算做出来了,学到不少东西,切实地提高了动手能力,也算值了吧!VHDL,FPGA,真是神奇的东西,就像高级语言一样,只描述电路模块的功能,然后电路生成由软件来完成,甚至都不需要连线,只写好代码就行,切实感觉到了现代电子设计的给力之处!对付errors简单,是语法问题,是普通程序员的活儿;对付warnings难,是逻辑问题,算法问题,是工程师的活儿!对这门课的意见与建议:这是一门好课,非常实用的东西,但是它应该早一点结束!不要等这么久,以为给学生充足的时间去准备,其实大家下去根本没有弄,都是等到要进实验室了才着手准备的!到这几天,各种大作业、各种考试如大军压境,再来个EDA,那大家的压力也太大了、、、、2011、12、16。

eda课程设计电梯

eda课程设计电梯

eda课程设计电梯一、教学目标本课程的学习目标包括知识目标、技能目标和情感态度价值观目标。

知识目标要求学生掌握电梯的基本原理、结构和工作方式,了解电梯的安全使用和维护知识。

技能目标要求学生能够运用所学知识进行电梯的简单设计和分析,提高学生的工程实践能力。

情感态度价值观目标要求学生培养对电梯工程技术的兴趣和热情,增强对工程技术的敬畏之心。

通过对学生的特点和教学要求的分析,我们将课程目标分解为具体的学习成果。

首先,学生需要理解并能够描述电梯的基本原理和结构,包括电动机、控制系统、电梯门等关键部分的作用。

其次,学生需要掌握电梯的工作方式,能够分析电梯的运行过程和故障原因。

再次,学生需要了解电梯的安全使用和维护知识,能够进行简单的电梯故障排查和修复。

最后,学生需要通过实际操作和案例分析,提高自己的工程实践能力,培养对电梯工程技术的兴趣和热情。

二、教学内容根据课程目标,我们选择和了以下教学内容。

首先,介绍电梯的基本原理,包括电动机的工作原理、控制系统的功能等。

然后,讲解电梯的结构,包括轿厢、对重、导向系统、门系统等部分的作用和设计要求。

接着,讲解电梯的工作方式,包括电梯的启动、运行、停止过程以及故障原因分析。

此外,还讲解电梯的安全使用和维护知识,包括电梯的故障排查、修复方法等。

最后,通过实际操作和案例分析,让学生亲身参与电梯的设计和分析,提高学生的工程实践能力。

三、教学方法为了实现课程目标,我们选择了一系列合适的教学方法。

首先,采用讲授法,由教师讲解电梯的基本原理、结构和工五、教学评估为了全面反映学生的学习成果,我们设计了一系列评估方式。

首先,通过平时表现评估学生的课堂参与度和学习态度。

其次,通过作业评估学生的理解和应用能力,要求学生完成电梯设计、分析等实际任务。

最后,通过考试评估学生的综合运用能力和复习效果。

这些评估方式应客观、公正,能够全面反映学生的学习成果。

六、教学安排教学安排规定了教学进度、教学时间和教学地点等。

基于EDA技术的四层电梯控制系统的设计.doc

基于EDA技术的四层电梯控制系统的设计.doc

河南工程学院毕业设计(论文)基于EDA技术的四层电梯控制系统的设计学生姓名系(部)电气信息工程系专业计算机控制技术指导教师2010年5月23日毕业设计(论文)任务书教研室主任签字:年月日河南工程学院毕业(设计)论文中期进展情况检查表系部:电气信息工程系检查日期2010 年 5 月20 号.附表三毕业设计(论文)的评价意见..电梯是机械电器紧密结合的大型机电产品.主要由机房、井道、轿厢、门系统和电气控制系统组成。

伴随建筑业的发展,为建筑内提供上下交通运输的电梯工业也在日新月异地发展着。

电梯已不仅是一种生产环节中的重要设备,更是一种工作和生活中的必需设备,完全可以预想到,随着社会的发展,电梯产品在人们物质文化生活中的地位将和汽车一样,成为重要的运输设备之一。

关键字:电梯控制器,CPLD,VHDL状态机,EDA。

.The elevator is the mechanical electric appliance close union large-scale mechanical and electrical products. Mainly of engine room, well road, sedan theater box, gate system and electric control system composition. Follows the architecture industry the development, provides the high and low transportation for the construction in the elevator industry also innovatingly to develop. The elevator already was not only in one kind of production link's important equipment, was in one kind of work and the life essential equipment, definitely might expect that along with society's development, the elevator product's will be the same in people material culture life status with the automobile, becomes one of important transport vehicles.Key words: elevator controller,CPLD,VHDL,EDA。

EDA技术 电梯

EDA技术 电梯

EDA技术与实践综合实验报告题目名称:电梯控制器的设计作者所在系部:电子工程系作者所在专业:电子工艺与管理作者所在班级:作者姓名:作者学号:22号指导教师姓名:完成时间:2012年6月北华航天工业学院电子工程系制综合实验任务书摘要随着社会的发展。

使用电梯越来越普遍,已从原来只在商业大厦、宾馆过渡到在办公室、居民楼等多种建筑中,并且对电梯功能的要求也不断提高,其相应控制方式也在不断发生变化。

电梯的微机化控制主要有:PLC控制、单板机控制、单片机控制、单微机控制、多微机控制和人工智能控制等。

随着EDA技术的高速发展,电子系统设计技术和工具发生了深刻的变化,大规模可编程逻辑器件FPGA的出现,给设计人员带来了诸多的方便。

VHDL语言是随着可编程逻辑器件( PLD)发展起来的一种硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口,是电子设计自动化(EDA)的关键技术之一。

它采用一种自上而下的设计方法,即从系统总体要求出发进行设计。

本文介绍了以Altera公司可编程逻辑器件为控制核心,采用VHDL语言设计电梯控制器的方法,并进行了QuartusII 软件仿真。

这里使用Altera公司开发的DE2开发板作为目标器件。

采用VHDL语言设计一个四层楼的单个载客箱的电梯控制系统,此控制系统设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。

关键词:EDA、VHDL、FPGA、状态机目录一.概述 (1)二.方案设计与论证 (1)1.设计说明 (1)2.设计思想 (1)三.单元模块设计介绍 (2)1.状态机 (2)2.分频器 (3)3.按键扫描模块 (3)4.显示模块 (3)四.程序设计(包括总体原理图或符号、程序、仿真结果和工作原理) (4)1.总体原理 (4)2.程序设计 (4)3.仿真结果 (15)4.工作原理 (17)五.器件编程和硬件调试过程 (17)六.心得体会 (18)参考文献 (18)一.概述本设计是运用状态机方法进行设计的,现的情况的四层楼的电梯。

基于EDA的电梯控制系统设计(FPGA)

基于EDA的电梯控制系统设计(FPGA)

【摘要】随着科技的迅速的发展,电梯已经成为生活中不可缺少的交通工具,电梯在国内的需求量也是与日俱增。

然而相比于其他发达国家比如欧美,我国的创新元素还还相对较少。

电梯的出现要追溯到上世纪,在那时电梯就已经融入到生活中并且取得了使用者的青睐.电梯的传统控制系统通常是采用继电器——接触器,而随着超大规模集成电路技术的成熟,FPGA作为一个新的生力军,在电梯的控制中已经取得了广泛的应用。

另外,随着可持续发展理念的深入人心,电梯更是融入了节能的元素。

由于FPGA内部集成了数以万计的逻辑单元,这样的特点使得它在电梯的控制上具有天然的优势。

本设计是基于VHDL硬件描述语言而实现的多层电梯控制与远程监控系统,在程序的设计上,主要采用了有限状态机,程序中设立了两个进程相辅相成,以信号灯控制进程作为辅助进程,状态机作为主要进城.本次设计是采用VHDL硬件描述语言而设计的十层电梯控制系统,以Altera公司的QUARTUS II软件作为开发平台,实现了电梯的基本功能以及远程监控功能。

【关键词】:电梯控制器,VHDL,状态机,远程监控ABSTRACTAlong with the science and technology rapid development, the elevator has become indispensable in life traffic tools, the elevator is also grow with each passing day in domestic demand。

However, compared to other developed countries such as Europe and the United States,innovation elements in China is still relatively small. The elevator can be traced to the last century, when the elevator already into life and made users. The traditional elevator control system uses the relay —contactor is usually, but with very large scale integrated circuit technology matures,FPGA as a new force, extensive application has been made in elevator control. In addition,with the win support among the people of the concept of sustainable development,the elevator is also incorporate energy—saving elements.Because the FPGA internal integration logic unit of tens of thousands of,this character makes it has a natural advantage in elevator control。

EDA电梯设计

EDA电梯设计

注:停车流程图要改,停车后,先延时一,再开门清相应信号灯,再延时二,再关门,最后返回。

延时同样有判断。

整体设计:左侧输入:按键,电源,压力传感器。

中间和右侧输出则与以前相同。

按以前的设计报告,你在画一份吧。

状态装换:附图两张:你自己看。

四层电梯控制器设计思路:采用状态机来实现电梯控制器,思路比较清晰。

根据电梯的实际工作情况,可以把电梯设置为9个状态,分别是“电梯停留在1层”、“开门”、“关门”、“延时一”、“延时二”、“报警”、“上升”、“下降”和“停止”状态。

各个状态的转换条件可有设计要求所决定。

1、四层电梯控制器的实体实际对于输入端口,一个异步复位端reset,用于在系统不正常时回到初始状态,在电梯外部,必须有升降请求端口,一层:上升请求端口,二三层:上升下降请求端口均有,四层:下降请求端口;在电梯内部,应有各层停留的的请求端口;一个时钟输入端口,用于驱动电梯的升降机开关门等动作;另有一个按键时钟输入端口,时钟频率比电梯时钟高。

对于输出端口,有升降请求信号,就得有一个输出端口来指示请求是否被响应,有请求信号后,该输出端口逻辑为‘1’,被响应后则恢复为‘0’,同样,在电梯内部也应该有这样的端口来显示是否被响应;在电梯外部,需要一个端口来显示电梯现在所处的位置;电梯的开关门的状态也能用一个输出端口来指示;电梯的升降状态用一个输出端口来指示。

2、结构体设计状态机设了九个状态,type lift_stata is(stopon1,dooropen,doorclose,delay1,delay2,warning,up,down,stop);signal mylift:lift_stata;--定义为lift_stata类型的信号mylift 在结构体中,有两个进程,状态机进程作为主要进程,信号灯控制进程作为辅助进程。

状态机进程中的很多判断条件是以信号灯控制进程产生的信号灯信号为依据的,而信号灯控制进程中信号灯的熄灭又是由状态机进程中传出的clearup和cleardn信号来控制。

EDA课程设计 三层电梯控制程序设计_实训报告

EDA课程设计 三层电梯控制程序设计_实训报告

目录1.任务要求---------------------------------------------------------------------------2 2.程序分析---------------------------------------------------------------------------22.1电梯运行规则--------------------------------------------------------------------22.2程序设计说明--------------------------------------------------------------------5 3.程序调试--------------------------------------------------------------------------12 4.程序仿真-------------------------------------------------------------------------144.1波形输入建立------------------------------------------------------------------144.2电梯运行情况仿真--------------------------------------------------------------164.3电梯功能仿真-------------------------------------------------------------------195.实训感想---------------------------------------------------------------------------20 参考文献----------------------------------------------------------------------------201.任务要求在电子技术飞速发展的今天,现代电子产品几乎渗透到了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,加速了电子设计技术的普及进程及技术革新。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录基于EDA技术的电梯控制系统设计 (2)1绪论 (2)1.1本课题的来源、目的、意义 (2)1.2国内外研究现状,发展趋势,存在的主要问题 (2)1.3课题研究的指导思想与主要内容 (4)2电梯控制系统方案论证 (4)2.2 基于PLC技术的电梯控制设计方案 (5)2.2 基于EDA技术的电梯控制设计方案 (6)2.2.1VHDL介绍 (7)2.2.2MAX+PlusⅡ的流程介绍 (8)2.3 方案选择 (8)3 EDA电梯控制设计 (9)3.1电梯控制器的系统设计原理 (9)3.2 EDA电梯控制设计框图 (9)3.3算法分析 (14)3.3.1电梯运行规则 (14)3.4 端口、寄存器设计说明 (17)3.4.1模块设计说明 (18)3.4.2 具体语句设计说明 (21)3.4.4程序调试 (25)4仿真与调试 (29)4.1波形输入建立 (29)4.2 电梯运行情况仿真 (31)4.3电梯功能仿真 (34)5总结与展望 (35)5.1设计功能实现情况 (35)5.2设计存在问题 (36)5.3 进一步完善建议与总结 (36)参考文献 (38)致谢 (39)附录 (40)基于EDA技术的电梯控制系统设计1绪论1.1本课题的来源、目的、意义本课题来源于社会实践。

课程设计目的:生活在继续,科技在发展,电梯也在进步。

通过对EDA 技术的电梯系统的课程设计,更深的掌握EDA控制系统的设计方法,编程技巧以及电气常用元器件的选型;掌握控制系统主电路、控制程序的分析和设计方法;同时掌握电气线路原理图的绘制方法,培养个人综合运用专业知识、技能去分析和解决本专业范围内的一般工程技术问题的能力,培养自身建立正确的设计思想,掌握课程设计的一般程序、规范和方法。

随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。

随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。

电梯广泛应用在大型的货运之中。

其使用便捷,货运周期短,效率高,成本低,对货运事业具有相当的经济价值。

时至今日,电梯技术经过多次革命性的改进和完善,主流产品大多采用微机控制、变频调速、永磁同步无齿轮主机曳引驱动的技术,使用安全和运行节能得到兼顾。

由于中国电梯的高速发展阶段有幸与世界电梯技术飞跃发展阶段同期,故中国电梯产品在技术上与国际先进潮流基本同步。

1.2国内外研究现状,发展趋势,存在的主要问题在经济不断发展,科学技术日新月异的今天,楼的高度已和经济发展同样的速度成长起来。

行业数据表明,2014 年上半年我国整梯生产台数增长率超过了10%,下半年发货量与订单相比比例递减,但全年产销量增速保持在10%左右,相比上一年度增速有所放缓。

但全年整梯总产量突破70 万台已成定局,全国电梯保有量将达到350万台以上。

我国已经成为全球最大的电梯生产和消费市场,世界上主要的电梯品牌企业均在我国建立独资或合资企业,是电梯领域的世界工厂和制造中心。

全球70%的电梯在中国制造,60%至65%的电梯销售在中国市场。

业内人士分析,我国电梯行业产业集中度不断提高,发展趋势向好。

产业发展格局发生显着变化,表现出强者更强,弱者更弱,行业已进入洗牌期。

一些品牌开始陆续在中西部地区投产,使产业布局更加合理。

作为建筑的中枢神经,电梯起着不可或缺的作用,电梯作为建筑物内的主要运输工具,像其他的交通工具一样,已经成为我们日常生活的一个不可缺少的组成部分。

一个国家的电梯需求总量,主要受其经济增长速度、城市化水平、人口密度及数量、国家产业结构等综合因素的影响。

在全球经济持续低迷的情况下,我国国民经济仍然以较高的速度持续增长,城市化水平不断提高。

这从客观上导致了我国电梯行业的空前繁荣景象,我国已经成为全球最大的电梯市场。

上世纪80年代以来,随着经济建设的持续高速发展,我国电梯需求量越来越大。

总趋势是上升的,目自口进入了“第三次浪潮”,2005年安装验收电梯124465台,截至05年底,我国的在用电梯总数已达651794台。

如此庞大的市场需求为我国电梯行业的发展创造了广阔的舞台!我国电梯行业已经具备了很强的生产能力。

兴旺的电梯市场吸引了全世界所有的知名电梯公司,美国奥的斯、瑞士迅达、芬兰通力、德国蒂森、同本三菱、日立、东芝、富士达等13家大型外商投资公司在国内的市场份额达到了74%”。

先进技术和先进管理的引进对国内电梯企业产生了强大的推动作用。

目前国内市场需要的电梯产品,我国电梯行业几乎全部可以生产,不但大量替代了进口,而且有一定的出口。

国产电梯的技术水平和产品质量正在稳步提高。

自1985年我国参加了国际标准化组织ISO/TCl78以来,先后等同或等效采用了一批国际标准和先进国家的标准。

标准的高起点使我国电梯行业在技术上居于有利地位。

国产电梯以其高质量,低成本的优势赢得了越来越多的国内外客户,为逐步进入国际市场创造了有利条件。

中国电梯在亚洲市场占有越来越重要的位置,每年销售量己达l万台左右,约占亚洲市场的1/50,一些合资企业在出口创汇方面也做出了贡献。

当今世界,电梯的生产情况与使用数量已经成为衡量一个国家工业现代化程度的标志之一。

在一些发达的工业国家,电梯的使用相当普遍。

电梯行业内都知道,电梯的核心部件是电梯控制,该部件的好坏不仅影响电梯的运行质量,还会影响电梯的安全性和可靠性能。

对于电梯控制系统来说,智能化的发展将是电梯行业未来发展的必经之路。

将人工智能应用到电梯控制领域是从上世纪80年代开始的,那时人工智能蓬勃发展,专家系统、神经网络、模糊控制等许多最新的人工智能成果都被应用到电梯群控的派梯算法上,但这些具有智能化派梯策略的电梯在中国的使用却很晚,使用量并不是很大。

这是由多种原因造成的。

智能化电梯群控系统可以大大提高电梯群的派梯效率,减少乘客的候梯时间,降低电梯的能耗。

对电梯的原有机械电梯部分基本不作改动,包括提升部分、厅门控制部分等等,只是在原有电梯控制系统之上增加一层接口层,在接口层实现对电梯各种信号的采集和通过电梯的原有外部输入接口实现对电梯控制信号的输入,在接口层之上实现电梯群的智能化群控。

1.3课题研究的指导思想与主要内容现代电子设计技术的核心是EDA技术。

基于EDA技术开发的实现电梯自动控制与目前主流的利用可编程逻辑控制器实现电梯控制紧密相连。

硬件描述语言是EDA技术的重要组成部分,通过对EDA技术的电梯系统的课程设计,更深的掌握EDA控制系统的设计方法,编程技巧以及电气常用元器件的选型;掌握控制系统主电路、控制程序的分析和设计方法;同时掌握电气线路原理图的绘制方法,培养个人综合运用专业知识、技能去分析和解决本专业范围内的一般工程技术问题的能力,培养自身建立正确的设计思想,掌握课程设计的一般程序、规范和方法。

具有采自易学易懂的梯形图语言、控制灵活方便、抗干扰能力强、运行稳定可靠等优点。

随着电子技术的发展,EDA技术在工业控制系统中得到了广泛的应用,在电梯控制电路上采用EDA技术进行开发,越来越受到人们的重视。

EDA 技术开发手段多样,其中应用最为广泛的就是通过程序对硬件进行开发,而其中又数VHDL语言最受设计者的欢迎。

本课题所要达到的效果是:具体实现稳定,精确,可控制且操作方便的电梯控制系统能指示电梯的运行状态;电梯的门操作具有关门延时设置当电梯出现故障时,由看门狗信号报警,并且只有通过技术人员输入清除信号才能使电梯恢复正常的工作状态;电梯的超载报警程序能自动实现报警功能。

2电梯控制系统方案论证2.2 基于PLC技术的电梯控制设计方案可编程控制系统是一种专门为在工业环境下应用而设计的数字运算操作电子系统。

它采用一种可编程的存储器,在其内部存储执行逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。

可编程控制器是计算机技术与自动化控制技术相结合而开发的一种适用工业环境的新型通用自动控制装置,是作为传统继电器的替换产品而出现的。

随着微电子技术和计算机技术的迅猛发展,可编程控制器更多地具有了计算机的功能,不仅能实现逻辑控制,还具有了数据处理、通信、网络等功能。

由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业控制的各个领域,大大推进了机电一体化的进程。

PLC的特点可综述如下:(1)高可靠性(2)编程简单,使用方便(可采用梯形图编程方式,与实际继电器控制电路非常接近,一般电气工作者很容易接受)(3)环境要求低(适用于恶劣的工业环境)(4)体积小,重量轻(5)扩充方便,组合灵活PLC硬件框图如图1所示:图1 PLC硬件框图2.2 基于EDA技术的电梯控制设计方案随着电子技术的发展,EDA技术在工业控制系统中得到了广泛的应用,在电梯控制电路上采用EDA技术进行开发,越来越受到人们的重视。

EDA技术开发手段多样,其中应用最为广泛的就是通过程序对硬件进行开发,而其中又数VHDL语言最受设计者的欢迎。

VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

其行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。

VHDL语言的设计单元包括实体(entity)、结构体(architecture)、程序包(package)以及配置(configration)。

初级设计单元――实体(entity),是设计的基本模块和设计的初级单元,在分层次设计中,顶层有顶级实体,含在顶级实体中的较低层次的描述为低级实体,靠配置把顶层实体和底层实体连接起来。

实体说明中还可以说明数据类型、子程序和常量等数据信息,实体语句常用于描述设计常用到的判断和检查信息。

次级设计单元――结构体(architecture),实体的结构体具有描述实体的行为功能,一个实体可以有多个结构体,一种可能为行为描述,另一种可能为结构描述。

结构体能以行为、数据流和结构等多种方式描述实体。

VHDL语言还包括程序包(package)和配置(configration)初级设计单元。

VHDL语言的基本术语往往能体现其严密的逻辑结构:VHDL语言的基本术语包括进程(process)、类属(generic)、属性(attribute)以及驱动(drive)。

进程(process)是VHDL中的基本执行单元,仿真时把所有的操作划分为单个或多个进程。

进程内部只含顺序执行的语句,即一串信号赋值中仅最后的赋值有效。

进程内不能说明信号,而变量在进程内说明。

其具体的流程图如图2所示。

设计思想及模块化设计输入设计实现设计仿真器件编程系统测试图2 VHDL设计流程图2.2.1VHDL介绍VHDL语言是一种用于电路设计的高级语言。

相关文档
最新文档