东华理工大学EDA期末试题
东华理工大学EDA期末真题
教材:数字系统设计与V erilog HDL(第四版)王金明编著大三电子信息工程专业(2013年)
一.填空题(20分)
1.基于EDA技术的设计中,有两种设计思路:
课本第4页
2.Verilog HDL中的三类常量:课本122页
3.块语句包括:课本142页
4.状态机包括的三个对象:课本197页
5.行为语句包括:
二.简答题(20分)
1.Verilog HDL有哪三种描述方式描述逻辑电路?以及三种描述方式的特点(10分)
2.全等与相等的区别(10分)
三.程序填空题(40分)
1.移位寄存器(10分,毎空5分)
Module shift16 (din,clk,clr,dout);
Input clk,clr; input [15;0]din; output reg [15:0] dout;
Always @(posedge clk)
Begin if(clr) dout<=16‘b00;
Else begin
Dout<=?;
?<=din[15];
End
end
endmodule
2.两个8位数相乘(10分,毎空5分)(课本153页)Module mult_for (outcome,a,b);
input[7:0] a,b; output[16:1]outcome;
integer i:
always @(a or b)
begin outcome<=0;
for(i=1;i<?;i=i+1)
if(b[i]) outcome<=?;
end
endmodule
3. 15人表决器(10分,毎空5分)(课本153页); Module vote15 (pass,vote);
Input [15:1] vote; output reg pass;
Reg [3:0] sum; integer i;
Always @(vote)
Begin sum=0;
For(i=1;i<=15;i=i+1)
If(vote[i]) ?;
If(sum[?]) pass=1;
Else pass=0;
End
endmodule
4. 考过程赋值语句(10分)
自己去看书,阻塞赋值,非阻塞赋值。145页四.编写程序(20分)
用三种描述方式中的一种方式编写一个同步置数,异步清零的模为80的计数器(参考课本148页)
(下载后用格式转换软件转换为word打印)
125青岛理工大学期末考试市场营销 专科 复习题及答案2
《市场营销》复习题及答案二 一、单项选择题 1、市场营销的核心是(C)。 A生产 B分配 C交换 D促销 2、从总体上看质量改进方案通常会增加企业的(B)。 A成本B盈利 C无形资产 D以上答案都不对 3、(C)是指企业利用多种信息载体与目标市场进行沟通的传播活动包括广告、人员推销、营业推广与公共关系等等。 A产品 B定价 C促销 D分销 4、消费者的购买单位是个人或(B)。 A集体 B家庭 C社会 D单位 5、服务是一方向另一方提供的基本上是(B)并且不导致任何所有权的产生。 A有形产品 B无形的任何活动或利益 C物质产品 D实体产品 6、按照不同的职能非营利组织可分为(D)。 A履行国家职能的非营利组织 B促进群体交流的非营利组织 C提供社会服务的非营利组 织 D AB和C 7、在产品生命周期的投入期消费品的促销目标主要是宣传介绍产品刺激购买欲望的产生因而主要应采用(A)促销方式。 A广告 B人员推销 C价格折扣 D营业推广 8、(C)差异的存在是市场细分的客观依据。 A产品 B价格 C需求偏好 D细分 9、企业要通过攻击竞争者而大幅度的扩大市场占有率应攻击(D)。 A近竞争者B“坏”竞争者 C弱竞争者 D强竞争者 10、威胁水平高而机会水平低的业务是(D)。 A理想业务 B冒险业务 C成熟业务 D困难业务 11、为鼓励顾客购买更多物品企业给那些大量购买产品的顾客的一种减价称为(B)。 A功能折扣 B数量折扣 C季节折扣 D现金折扣 12、向最终消费者直接销售产品和服务用于个人及非商业性用途的活动属于(A)。 A零售 B批发 C代理 D直销 二、多项选择题 1、市场营销理论在中国的传播和发展大致有以下几个阶段__ ABDE _______。
东华理工大学813材料科学基础2018年考研专业课真题试卷
注意:答案请做在答题纸上,做在试卷上无效 第1页,共2页 东华理工大学2018年硕士生入学考试初试试题 科目代码:813;科目名称:《材料科学基础》;(A 卷) 适用专业(领域)名称: 080502材料学温馨提醒:请考生把答案写在答题纸上,并标注题号。第五题的辅助线绘制在试题的原图上。 一、名词解释(共5题,每题4分,共20分) (1)光电效应 (2)晶态结构与无定型结构 (3)弗伦克尔缺陷与肖特基缺陷 (4)均匀成核与非均匀成核 (5)本征扩散与非本征扩散 二、简答题(共2题,每题10分,共20分) (1)列举一种铁电材料并简述其晶体结构特征以及铁电效应。 (2)试述微晶学说与无规则网络学说的主要观点。 三、分析与证明题(共2题,每题10分,共20分) (1)半导体材料二氧化钛的非化学计量缺陷的浓度与周围气氛的性质、压力大小相关,如果增大周围气氛的分压,非化学计量化合物TiO 2-x 的电导率将发生怎样的变化?增大还是减小?为什么? (2)液-固相变过程在液相中形成边长为a 的立方体晶核时,系统的自由焓 G 将写成什么形式?求出立方体“临界核胚”的边长a*和临界核化自由焓ΔG*。与形成球形晶核(假设临界核坯半径为r p ,临界核化自由焓ΔG p )相比较,哪一种形状核胚的临界核化自由焓更大,为什么? 四、计算题(共2题,每题20分,共40分) (1)计算CaTiO 3晶体中O 2-的电价是否饱和? (2)已知MgO 多晶材料中Mg 2+的本征扩散系数D 本征和非本征扩散系数D 非本征分别为:D 本征=0.29exp(-486000/RT)cm 2/s ,D 非本征=1.2×10-5exp(-254500/RT)cm 2/s 。试求: 1)在Mg 2+的lnD~1/T 图中,由非本征扩散转变为本征扩散的转折温度;2)欲使Mg 2+在MgO 中的扩散直至MgO 熔点2800oC 时仍为非本征扩散,三价杂质离子的浓度范围是多少? 五、相图题(共1题,每题30分,共30分) 某学生进行PbO-TiO 2-ZrO 2系统试验,某日下午用高温炉熔融由PbO 、TiO 2和ZrO 2 东华理工大学2018年考研专业课初试真题精都考研(https://www.360docs.net/doc/0310654147.html,)——全国100000考研学子的选择
东华理工大学高职院毕业实习毕业设计论文有关规定
东华理工大学高职院毕业实习、毕业设计(论文)有关规定
————————————————————————————————作者:————————————————————————————————日期:
高职毕业实习报告、毕业设计(论文)的有关规定 为了让高职学生安心在企业单位进行毕业实习,鼓励学生就业,特对有关高职毕业实习、毕业设计(论文)作出相关规定:1.各教学学院在每学年的9月底前,安排高职毕业实习、毕业设计(论文)指导老师,并将安排表交一份纸质稿给高职学院,表中要包含指导老师联系电话。 2.对于已就业并在就业单位签署就业协议(以上交学生科有效就业协议为准)的学生,要求按照《高职毕业实习报告要求》做好毕业实习报告,不用做毕业设计(论文)。指导老师指导学生撰写毕业实习报告。 3.在校内做毕业设计(论文)的学生,必须按照《毕业设计(论文)过程管理手册》的要求做好毕业设计(论文)。指导老师按照《毕业设计(论文)过程管理手册》的要求做好指导工作。 4.高职毕业实习报告、毕业设计(论文)等材料装订好后,全部装在高职院统一印制的资料袋中。 5.每学年的5月底前要完成毕业实习报告、毕业设计(论文),并上交成绩。
毕业实习报告要求 1.毕业实习报告采用A4幅面纸张填写。 2.毕业实习报告封面要求: 封面填写的字体采用三号宋体加黑。 3.目录规范要求: “目录”用三号宋体加黑,居中,目录内容用宋体四号字(行间距1.5倍)。4.实习报告内容要求: 1) 基本情况概述。对实习时间、实习单位、实习岗位等基本情况的概述。 2)对实习内容的总结。根据专业的特点和实习企业的情况,结合自己实习的岗位内容、特点等进行全面深入的总结。 3)主要收获。从个人的思想进步,业务锻炼和团队合作等方面总结。 4)自我评价。梳理自己的不足之处,明确今后的努力方向。 5)对实习管理工作的意见和建议。 字数不少于5000字。实习报告的页码编在当前页的右下角。 5.实习自我鉴定要求: 以一页纸为宜,要求对实习的主要内容、本人实习的表现、收获与体会,以及存在的问题进行简单的评价。 6.评语要求: 要求校外、校内实习指导教师填写考核意见,并要求指导教师和实习单位在评语栏内按等级打分(等级分为优秀、良好、中等、及格、不及格5个等级)。7.装订顺序要求: 1)毕业实习报告封面 2)目录 3)毕业实习报告正文 4)毕业实习鉴定表
青岛理工大学2018下学期期末考试产业经济学复习题二与答案
产业经济学复习题二与答案 一、名词解释(每题4分,共20分) 1.配第-克拉克定律 2.市场进入障碍 3.产业组织政策 4.策略性进入壁垒 5.市场绩效 二、单项选择(每题1分,共20分) 1.以下说法正确的是( ) A. 产业包括生产领域的活动 B. 产业包括流通领域的活动 C. 产业包括服务及文化教育领域的活动 D. 以上说法都正确 2.产业组织理论的核心问题是( ) A. 马歇尔冲突 B. 交易费用 C. 霍夫曼比例 D. 配第-克拉克定理 3.下面哪些不是利用非信息性广告传递产品质量信息的事例?( ) A. 李华手机在其西祠手机版里直接标示出其产品价格。 B. 有实力的商店花大价钱装修其店堂和门面。 C. 有军事实力的国家进行公开的军事演习。 D. TCL手机请金喜善担任其形象代言人。 4.产业经济中通常用( )衡量厂商的市场势力 A. 市场份额 B. 厂商规模 C. 价格成本差 D. 产品价格 5.下面哪个因素不是进入壁垒的来源( ) A. 规模经济性 B. 产品差别化 C. 短期平均成本 D. 在位企业的绝对成本优势 6.构成进入壁垒的非结构性因素是( ) A. 规模经济 B. 必要资本量 C. 企业的产品扩散策略 D. 政府管制 7.产业组织是指( ) A.同一产业内企业间的组织或市场关系 B.产业中同类企业的总和 C.企业与企业之间的经济关系 D.市场主体间的市场活动的集合 8.HHI指数的优势在于( )
A.必须收集到该市场上所有企业的市场份额信息 B. 计算量不大 C.HHI对规模最大的前几个企业的市场份额变化反映特别敏感 D.便于收集资料 9.掠夺性定价的特征有( ) A.定价一般长期性的 B.所有企业都可以采用此战略 C. 价格一般定在低于平均利润之下 D.对市场结构产生有利的影响 10.产业经济学研究的领域是( ) A.国民经济总量 B.企业 C.家庭 D.产业 11.把产业分为主导、先导产业的关联分类法是( ) A.技术关联方式分类法 B.战略关联分类法 C.原料关联分类法 D.方向关联分类法 12.中国封建时期最重要的产业政策是( ) A.农本思想 B.工商业思想 C.水利基础设施建设思想 D.农工商思想 13.霍夫曼比例是指( ) A.消费品工业净产值与资本品工业净产值的比例 B.供给与需求的比例 C.轻工业品净产值与重工业品净产值的比例 D.以上都对。 14.SCP 理论指的是( ) A. 市场结构—市场主体—市场绩效 B. 市场结构—市场行为—市场绩效 C. 市场行为—市场结构—市场效果 D. 市场结构—消费主体—产品状况 15.罗斯托关于经济增长本质研究的角度是( ) A. 从总量的变化过程来研究产业结构的变化趋势 B. 从部门的变化过程来研究经济总量增长的规律 C. 从均衡竞争的假设条件来研究经济增长 D. 从“次优论”的角度来研究经济增长。 16.产业结构优化的目标是( ) A. 实现产业结构的高度化和合理化 B. 实现经济的飞速发展 C. 促进各产业间的协调发展 D. 调整不协调的产业结构
EDA期末考试考卷及答案
(A卷) 赣南师范学院 2010—2011学年第一学期期末考试试卷(A卷)(闭卷)年级 2008 专业电子科学与技术(本)课程名称 EDA技术基础 2、学生答题前将密封线外的内容填写清楚,答题不得超出密封线; 3、答题请用蓝、黑钢笔或圆珠笔。 一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真 D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能 3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MATLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR语句11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程 C.PAL可编程D.与或阵列可编程 13.以下器件中属于Altera 公司生产的是 B A.ispLSI系列器件B.MAX系列器件 C.XC9500系列器件D.Virtex系列器件 14.在VHDL语言中,下列对时钟边沿检测描述中,错误的是 D A.if clk'event and clk = '1' then B.if clk'stable and not clk = '1' then C.if rising_edge(clk) then D.if not clk'stable and clk = '1' then 15.以下关于状态机的描述中正确的是 B A.Moore型状态机其输出是当前状态和所有输入的函数 B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数
青岛理工大学概率统计期末试卷—A(附答案)
学号:姓名:班级:..........................................................密.......................................................封...........................................................线.......................................................... 专业本科各专业年级2007级班2008~2009学年第 1 学期概率论与数理统计课程期末试卷试卷类型:A 卷 青岛理工大学试卷纸共 4 页第 1 页 试题要求:1、试题后标注本题得分;2、试卷应附有评卷用标准答案,并有每题每步得分标准;3、试卷必须装订,拆散无效;4、试卷必须
..........................................................密.......................................................封..........................................................线..........................................................
..........................................................密.......................................................封..........................................................线..........................................................
EDA期末试卷及答案(2020年九月整理).doc
EDA期末试卷 一、填空题 1.一般把EDA技术的发展分为MOS时代、CMOS 代和ASIC 三个阶段。 2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。 3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。 4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。 6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。 7.以EDA方式设计实现的电路设计文件,最终可以编程下载 到FPGA 和CPLD 芯片中,完成硬件设计和验证。 8.MAX+PLUS的文本文件类型是(后缀名).VHD 。9.在PC上利用VHDL进行项目设计,不允许在根目 录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。
10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。 二、选择题:。 11.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A.仿真器 B.综合 器 C.适配器 D.下载器12.在执行MAX+PLUSⅡ的(D )命令,可以精确分析设计电路输入与输出波形间的延时量。 A .Create default symbol B. Simulator C. Compiler D. Timing Analyzer 13.VHDL常用的库是(A ) A. IEEE B.STD C. WORK D. PACKAGE 14.下面既是并行语句又是串行语句的是( C ) A.变量赋值 B.信号赋值 C.PROCESS语 句 D.WHEN…ELSE语句 15.在VHDL中,用语句(D )表示clock的下降沿。
60青岛理工大学期末考试管网与泵站试卷(B)标准答案
管网与泵站试卷(B)标准答案 一、名词解释:(10题,每题3分,共30分) 1、生活污水日变化系数:一年中最大日生活污水量与平均日污水量的比值称为生活污水日 变化系数。(3分) 2、覆土厚度:指排水管道外壁顶端到地面的垂直距离。(3分) 3、管顶平接:指在排水管网衔接时,使上游管段终端和下游管段起端的管顶标高相同的衔 接方式。(3分) 4、降雨历时:是指连续降雨的时段,可以指一场雨全部降雨的时间,也可指其中个别的连 续时段。(3分) 5、苏林系数:由于雨水管渠由于雨水流行时间比按照最大流量计算的流行时间大20%,对 用满流流速计算出的管内雨水流行时间乘以大于一的系数,称为苏林系数。(3分) 6、折减系数:由于缩小了管道排水断面尺寸使雨水管段上游蓄水,增长泄水时间。因此采 用增长管道中流行时间的办法,达到适当折减设计流量,进而缩小管道断面尺寸的目的,而对管内流行时间乘以一系数,叫做折减系数(2分)。是苏林系数与管道调蓄利用系数两者的乘积。(1分) 7、极限强度法:即承认降雨强度随降雨历时增长而减小的规律性,同时认为汇水面积的增 长与降雨历时成正比,而且汇水面积随降雨历时的增长较降雨强度随降雨历时增长而减小的速度更快,这种用于确定雨水管道设计的理论车称为极限强度法。(3分) 8、传输流量:是指在排水管网中,从污水管网上游管段和旁侧管段流来的污水量。(3分) 9、截留倍数:在合流制管渠系统中,不从合流制管道系统溢流井泄出,沿管道输送到污水 处理厂的雨水量,通常按旱流流量Qf的指定倍数计算,该指定倍数称为截流倍数。(3分) 10、“干室式”泵站;集水池与水泵间用不透水墙进行分割,集水池只允许进入水泵内,不进 入机器间的泵房布置形式称为“干室式”泵站(3分)。 二、简答:(5题,每题8分,共40分) 1、简述排水系统的主要布置形式有哪几类?主要适用于何种情况? 答:(1)正交式布置:在地势向水体适当倾斜的地区,各排水流域的干管可以最短距离沿与水体垂直相交的方向布置,称为正交式布置。(1.5分) (2)截流式布置:正交式布置中沿河岸再敷设主干管,并将各干管的污水截流送至污水厂,这种布置形式称截流式布置。(1.5分) (3)平行式布置:在地势向河流方向有较大倾斜的地区,为了避免因干管坡度及管内流速过大,使管道受到严重冲刷,可使干管与等高线及河道基本上平行、主干管与等高线及河道成一定斜角敷设,这种布置也称平行式布置。(1.5分) (4)分区布置:在地势高低相差很大的地区,当污水不能靠重力流流至污水厂时,可采用分区布置形式。(1.5分) (5)辐射状分散布置:当城市周围有河流,或城市中央部分地势高、地势向周围倾斜的地区,各排水流域的干管常采用辐射状分散市置。(1分) (6)环绕式布置:围绕一个地区主要污水厂布置的各分区干管布置形式。(1分) 2、污水管道最小埋设深度应满足的三个要素分别是什么?并简述主要内容。 答:污水管道的最小覆土厚度,一般应满足下述三个因素的要求;
东华理工大学EDA期末试题
东华理工大学EDA期末真题 教材:数字系统设计与V erilog HDL(第四版)王金明编著大三电子信息工程专业(2013年) 一.填空题(20分) 1.基于EDA技术的设计中,有两种设计思路: 课本第4页 2.Verilog HDL中的三类常量:课本122页 3.块语句包括:课本142页 4.状态机包括的三个对象:课本197页 5.行为语句包括: 二.简答题(20分) 1.Verilog HDL有哪三种描述方式描述逻辑电路?以及三种描述方式的特点(10分) 2.全等与相等的区别(10分) 三.程序填空题(40分) 1.移位寄存器(10分,毎空5分) Module shift16 (din,clk,clr,dout); Input clk,clr; input [15;0]din; output reg [15:0] dout; Always @(posedge clk) Begin if(clr) dout<=16‘b00; Else begin Dout<=?; ?<=din[15]; End
end endmodule 2.两个8位数相乘(10分,毎空5分)(课本153页)Module mult_for (outcome,a,b); input[7:0] a,b; output[16:1]outcome; integer i: always @(a or b) begin outcome<=0; for(i=1;i<?;i=i+1) if(b[i]) outcome<=?; end endmodule 3. 15人表决器(10分,毎空5分)(课本153页); Module vote15 (pass,vote); Input [15:1] vote; output reg pass; Reg [3:0] sum; integer i; Always @(vote) Begin sum=0; For(i=1;i<=15;i=i+1) If(vote[i]) ?; If(sum[?]) pass=1; Else pass=0; End endmodule 4. 考过程赋值语句(10分) 自己去看书,阻塞赋值,非阻塞赋值。145页四.编写程序(20分) 用三种描述方式中的一种方式编写一个同步置数,异步清零的模为80的计数器(参考课本148页) (下载后用格式转换软件转换为word打印)
EDA技术期末试卷(含答案)
班级 学号 姓名 密 封 线 内 不 得 答 题 一、单项选择题(30分) 1.以下描述错误的是 C A .QuartusII 是Altera 提供的FPGA/CPLD 集成开发环境 B .Altera 是世界上最大的可编程逻辑器件供应商之一 C .MAX+plusII 是Altera 前一代FPGA/CPL D 集成开发环境QuartusII 的更新换代新产品 D .QuartusII 完全支持VHDL 、Verilog 的设计流程 2.以下工具中属于FPGA/CPLD 开发工具中的专用综合器的是 B A .ModelSim B .Leonardo Spectrum C .Active HDL D .QuartusII 3.以下器件中属于Xilinx 公司生产的是 C A .ispLSI 系列器件 B .MAX 系列器件 C .XC9500系列器件 D .FLEX 系列器件 4.以下关于信号和变量的描述中错误的是 B A .信号是描述硬件系统的基本数据对象,它的性质类似于连接线 B .信号的定义范围是结构体、进程 C .除了没有方向说明以外,信号与实体的端口概念是一致的 D .在进程中不能将变量列入敏感信号列表中 5.以下关于状态机的描述中正确的是 B A .Moore 型状态机其输出是当前状态和所有输入的函数 B .与Moore 型状态机相比,Mealy 型的输出变化要领先一个时钟周期 C .Mealy 型状态机其输出是当前状态的函数 D .以上都不对 6.下列标识符中, B 是不合法的标识符。 A .PP0 B .END C .Not_Ack D .sig 7.大规模可编程器件主要有FPGA 、CPLD 两类,下列对CPLD 结构与工作原理的描述中,正确的是 C 。 A .CPLD 即是现场可编程逻辑器件的英文简称 B .CPLD 是基于查找表结构的可编程逻辑器件 C .早期的CPL D 是从GAL 的结构扩展而来 D .在Altera 公司生产的器件中,FLEX10K 系列属CPLD 结构 8.综合是EDA 设计流程的关键步骤,在下面对综合的描述中, D 是错误的。 A .综合就是把抽象设计层次中的一种表示转化成另一种表示的过程 B .综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件 C .为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 D .综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的) 9.嵌套使用IF 语句,其综合结果可实现 A 。 A .带优先级且条件相与的逻辑电路 B .条件相或的逻辑电路 C .三态控制电路 D .双向控制电路 10.在VHDL 语言中,下列对时钟边沿检测描述中,错误的是 D 。 A .if clk'event and clk = ‘1’ then B .if falling_edge(clk) then C .if clk’event and clk = ‘0’ then D .if clk’stable and not clk = ‘1’ then 11.下列那个流程是正确的基于EDA 软件的FPGA / CPLD 设计流程 B A .原理图/HDL 文本输入→适配→综合→功能仿真→编程下载→硬件测试 B .原理图/HDL 文本输入→功能仿真→综合→适配→编程下载→硬件测试 C .原理图/HDL 文本输入→功能仿真→综合→编程下载→→适配硬件测试; D .原理图/HDL 文本输入→功能仿真→适配→编程下载→综合→硬件测试 12.在VHDL 语言中,下列对进程(PROCESS )语句的语句结构及语法规则的描述中,正确的是 A 。 A .PROCESS 为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 B .敏感信号参数表中,应列出进程中使用的所有输入信号 C .进程由说明部分、结构体部分、和敏感信号参数表三部分组成 D .当前进程中声明的变量也可用于其他进程 13.下列语句中,不属于并行语句的是 B A .进程语句 B .CAS E 语句 C .元件例化语句 D .WHEN …ELSE …语句 14.VHDL 语言共支持四种常用库,其中哪种库是用户的VHDL 设计现行工作 库 D A .IEEE 库 B .VITAL 库 C .STD 库 D .WORK 库 15.VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A .器件外部特性 B .器件的综合约束 C .器件外部特性与内部功能 D .器件的内部功能 二、EDA 名词解释,写出下列缩写的中文含义(10分) 1.CPLD :复杂可编程逻辑器件 2.ASIC :专用集成电路 3.LUT :查找表 4.EDA :电子设计自动化 5.ROM :只读存储器 三、程序填空题(20分) 以下是一个模为24(0~23)的8421BCD 码加法计数器VHDL 描述,请补充完整
2014东华理工大学期末模拟测试卷
2014—2015东华理工大学期末模拟测试卷 高等数学A (上册) 一.填空题(本大题分8小题,每题3分,共24分) 1.的定义域为,则设 )()65lg(56)(22x f x x x x x f +-+-+= 。 2.已知曲线L 的参数方程为2(sin )2(1cos ) x t t y t =-??=-?,则曲线L 在2t π =处的切线方程为 。 3.设52 sin tan cos x y x x x x =+-- ,则y '等于 。 4.曲线11 -=x e y 的水平渐近线是 , 铅直渐近线是 。 5.? =''x x f x x x f d )(,sin )(2 则的一个原函数为设 。 6.=?x x f x f d )2(',)( 则连续可导设 。 7. ? ∞ +-2 ) 1(p x dx ,当p 时收敛,当p 时发散。 8.微分方程sec 2xtanydx+sec 2ytanxdy=0的通解是 。 二.选择题(本大题分8小题,每题2分,共16分) 1. 的是则)(0, 0,1 cos ,0,0,0,sin )(x f x x x x x x x x x x f =??? ? ???>=<+=( ) (A)连续点 (B)可去间断点 (C)跳跃间断点 (D)振荡间断点 2.应满足则高阶的无穷小是比时c b a x c bx ax x ,,,11 1, 2 +++∞→( ) (A)1,1,0===c b a (B) 0,1,a b c ==为任意常数 (C) 为任意常数c b a ,,0≠ (D) 都可以是任意常数c b a ,, 3函数)(x f 在0x x =处连续是)(x f 在0x x =处可导的( ) (A) 必要但非充分条件; (B) 充分但非必要条件; (C) 充分必要条件; (D) 既非充分又非必要条件。 4.、函数=+=)(),4 2cos(n y x y 则π ( ) (A) ]4122cos[2π++ n x n (B) )42cos(2π n x n + (C) )22cos(πn x + (D) ]4 )12(2cos[π++n x 5.若函数()[0,)'()0,(0)0,[0,)()f x f x f f x +∞><+∞在内可导且又则在内有( ) (A )唯一零点 (B )至少存在一个零点 (C )没有零点 (D )不能确定有无零点 6.=+= ?I x dx I 则设,1( ) ()22ln(1).()22ln(1).A x x c B x x c -++++++ ()22ln(1).()22ln(1).C x x c D x x c -++--++ 7.[]1()0()0()0()d b a a b f x f x f x S f x x '''><>= ? 设在区间,上,,,令, []231 ()()()()()2 S f b b a S f b f a b a =-= +-,,则有( ) 123213312231()()()()A S S S B S S S C S S S D S S S <<<<<<<< . . . . 8.下列方程中( )是二阶微分方程 (A )(y '')+x 2y '+x 2=0 (B) (y ') 2+3x 2y=x 3 (C) y '''+3y ''+y=0 (D)y '-y 2=sinx 三.(本题8分)求sin 2sin 0lim x x x e e x →-
东华理工大学毕业设计
东华理工大学毕业设计(论文)撰写规范 (修订稿) 2006年10月 学士学位毕业(论文)是学生在教师的指导下经过调查研究、科学实验或工程设计,对所取得成果的科学表述,是学生毕业及学位资格认定的重要依据。其撰写在参照国家、各专业部门制定的有关标准及语法规范的同时,应遵照如下规范(适用于我校所有专业毕业论文的撰写): 一、毕业设计(论文)存档资料 1.《东华理工学院本科生毕业设计(论文)过程管理手册》,包括:毕业设计(论文)任务书、毕业设计(论文)开题报告、文献综述(3000~5000字)、毕业实习成绩鉴定表、毕业设计(论文)进展情况记录、毕业设计(论文)进展中期小结、教师评语和评分表、毕业答辩评分表、毕业设计(论文)答辩记录卡等); 2. 毕业论文或毕业设计说明书; 3. 外文译文、原文复印件; 4. 图纸、软盘等; 5. 毕业设计(论文)学生日志。 二、毕业设计(论文)存档文档的填写及有关资料的装订 毕业设计(论文)存档资料使用学校教务处统一印制的毕业设计(论文)资料袋存放。毕业设计(论文)存档资料应包括(一)中规定内容。 毕业设计(论文)存档文档应按要求认真填写,字迹要工整,卷面要整洁,手写一律用黑或蓝黑墨水。 毕业设计(论文)存档文档应按顺序分成两册装订。封面应按照学校提供的格式和要求填写,封面颜色可以院系为单位统一自行选用,填写论文题目、姓名、指导教师姓名等内容。其中“申请学位级别”按照《中华人民共和国学位条例暂行实施办法》所规定的名称填写,如工学学士、管理学学士、理学学士、经济学学士、文学学士等。扉页的内容、格式与封面相同。 第一册(毕业论文或毕业设计说明书)的内容和装订顺序为:封面、扉页、中文摘要及关键词、英文摘要及关键词、目录、正文、谢辞、参考文献、附录。 第二册(即附件——东华理工学院本科生毕业设计(论文)过程管理手册)的内容和装订顺序已经确定,按规定要求认真填写。 外文译文与原文复印件、文献综述与工程图纸(按国家标准折叠装订)、电子文档、软盘及学生日志等资料一起放入毕业设计(论文)资料袋内交指导教师查收,经审阅、评阅、答辩后,交院(系)统一归档保存。 三、论文结构及写作要求 论文(设计说明书)应包括标题、中文摘要与关键词、英文题目、英文摘要与关键词、目录、正文、致谢、参考文献和附录等部分。 (一)标题、封面及扉页 标题应简短、明确、有概括性,应恰当、准确地反映本课题的研究内容。通过标题使读者大致了解毕业设计(论文)的内容、专业的特点和科学的范畴。标题字数要适当,一般不宜超过25字,外文题目不超过15个实词,不使用标点符号,中外文题名应一致。标题中尽量不用英文缩写词,必须采用时,应使用本行业通用缩写词。
(完整版)EDA期末考试题1
1.一个项目的输入输出端口是定义在( A )1-5 ACDCD 6-10 CCACA A. 实体中;. B. 结构体中; C. 任何位置; D. 进程中。 2. MAXPLUS2中编译VHDL源程序时要求( C ) A. 文件名和实体可以不同名; B. 文件名和实体名无关; C. 文件名和实体名要相同; D. 不确定。 3. VHDL语言中变量定义的位置是(D ) A. 实体中中任何位置; B. 实体中特定位置; C. 结构体中任何位置; D. 结构体中特定位置。 4.可以不必声明而直接引用的数据类型是(C ) A. STD_LOGIC ; B. STD_LOGIC_VECTOR; C. BIT; D. ARRAY。 5. MAXPLUS2不支持的输入方式是(D ) A 文本输入;.B. 原理图输入;C. 波形输入;D. 矢量输入。 6.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( C ) A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。 7.下面不属于顺序语句的是( C ) A. IF语句; B. LOOP语句; C. PROCESS语句; D. CASE语句。 8. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是( A ) A. 器件外部特性; B. 器件的内部功能; C. 器件的综合约束; D. 器件外部特性与内部功能。 9. 进程中的信号赋值语句,其信号更新是( C ) A. 按顺序完成; B. 比变量更快完成; C. 在进程的最后完成; D. 都不对。 10. 嵌套使用IF语句,其综合结果可实现:(A ) A. 带优先级且条件相与的逻辑电路; B. 条件相或的逻辑电路; C. 三态控制电路; D. 双向控制电路。 一、单项选择题:(20分) 1. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述
127青岛理工大学期末考试试卷B答案标准格式
青岛理工大学试卷标准答案及评分标准专用纸 一、填空题(每空1.0分,共20分) 1、亲和力,小 2、物理吸附,化学吸附 3、预反应区,主反应区 4、吸附作用,活性炭层中微生物对有机物的分解 5、紫外线,羟基自由基 6、极薄的表面,多孔支撑 7、自养性好氧,兼氧性异养 8、压力 9、结构简单,装填密度高 10、水解、产氢产乙酸、产甲烷 二、名词解释(每空3.0分,共24分) 1.DOC,UV254:AOC是指可吸收有机碳。是生物稳定性的一个判定指标。 UV254是在254nm处的紫外吸光度,反映出水中含有的有机物的芳香度。 2.滗水器:是指用于SBR反应器中,快速出水的装置。 3.渗透压:指盐溶液在半透膜的两侧自然形成的液位差。 4.生物稳定性:指经过净水处理后,将水中的微量有机物降低到很低的水平,一般AOC应小于10微克/L,这时,在输水过程中由于有机物浓度极低,导致微生物不能再次孳生,使用水的终端保持水的安全性。5.膜的污染与劣化:可恢复的膜的污染称为污染,不可恢复的称为膜的劣化。 6.贫营养菌:是指给水生物处理中的主要作用菌种,在微量有机物的营养环境中能利用生物代谢作用分解净化有机物的菌种。 7.纳滤:纳滤是一种疏松的反渗透系统,其分离特性介于反渗透和超滤之间。纳滤膜表面带负电。是荷电膜,脱盐率<90%。 8.颗粒污泥:颗粒污泥是由UASB产生的一种以甲烷菌为主体的结构密实,边缘圆滑,颜色黑灰的污泥。 三、简答题(每空5.0分,共40分) 1. 微污染水源采用常规给水工艺处理时会产生那些问题。 答:1)微污染水源通常主要含有有机物,造成常规处理工艺无法有效去除。 2)微污染水源中常含有氨氮,一般高达3-5mg/L,导致藻类生长,增大了水厂的处理难度。 3)有机物和氨氮会导致加氯量的增大,增加了消毒成本。也使消毒副产物(DBPs)大量增加。 4)微污染水源水一般含有色、嗅、味,使水质下降。还会对人体产生无法预测的潜在危害。 5)有机物为管网中的微生物繁殖提供了物质基础。 2.什么是浓差极化现象,简述浓差极化现象的危害。 答:在膜分离操作中,所有溶质均被透过液传送到膜表面上,不能完全透过膜的溶质受到膜的截留作用,
《EDA》试题B答案
2007 至2008学年度第二学期期末考核 《EDA》试题(开卷) 卷号:B 时间:120 分钟 2008 年6 月 专业:电子信息工程学号:姓名: 一填空题(20分) 1、VHDL 2、DEVICE.LIB SYMBOLS.LIB 3、实际零件焊接到电路板时所指示的外观和焊点的位置 4、电子设计自动化电子CAD技术 5、A L T E R A,X I L I N X 6、WAIT 7、电路连接 8、SRAM-BASE 9、2.54mm 300mil 10、元件外观和元件引线端子的图形 二名词解释(20分) 1 PLD/FPGA PLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programable Gate Array)的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或PLD/FPGA。 2.过孔 当需要连接两个层面上的铜膜走线时就需要过孔(Via),过孔 又称为贯孔、沉铜孔和金属化孔。 过孔分为穿透式(Through)、半隐藏式(Blind)和隐藏式(Buried) 3.铜膜线 就是连接两个焊盘的导线,称为Track,一般铜膜线走线在不 同层面取不同的走向,例如顶层走水平线,则底层走垂直线。顶 层和底层走线之间的连接采用过孔(Via)连接。 4 PROM、PAL和PLA PROM:与阵列固定,或阵列可编程,一般用作存储器,其输入为存储器的地址,输出为存储器单元的内容。但输入的数目太大时,器件功耗增加,其局限性大。 PLA:与或阵列均可编程,但是其慢速特性和相对PAL、PROM而高得多的价格妨碍了它被广泛使用。PAL:或阵列固定,与阵列可编程,其第二代产品GAL具有了可电擦写、可重复编程、可设置加密的功能。 5 自顶向下的/自下而上的设计方法 自下而上的设计方法,使用该方法进行硬件设计是从选择具体元器件开始,并用这些元器件进行逻辑电路设计,从而完成系统的硬件设计,然后再将各功能模块连接起来,完成整个系统的硬件设计,自顶向下的设计方法就是从系统的总体要求出发,自顶向下分三个层次对系统硬件进行设计。 第一个层次是行为描述第二个层次是数据流描述第三个层次为逻辑综合
青岛理工大学英语2018下学期期末考试复习题A及答案
复习题A Section A Multiple Choice Directions: Choose the best answer from the four choices marked A, B, C and D. 1. Columbia students may at first be intimated by the city’s strong ______. A. famous B. deputation C. reputation D. well-known 2. The university has _____ many changes over the years. A. witnessed B. experiences C. occurred D. taken pace 3.—Will you stay for _________ supper with us? —Sure, I'd love to. Home cooking is just what I like. A. a B. an C. the D. / 4. Students should ____ their own interests, as well as do their school work. A. pursue B. pursuit C. in pursuit of D. be pursued 5. —__________is your father? —He's an engineer in a big factory. A. Who B. What C. Which D. Where 6. Housing policies _____ from school to school. A. differ B. varies C. different D. variety 7. I usually sleep with the window open _____ it’s really cold. A. if B. because C. so D. unless 8. I keep the lesson simple because small kids can’t ______ that much. A. absorb B. take up C. recover D. complain 9. —Have you read the book Harry Potter? —Sure. Eric is also _______ it and we become friends because of that. A. proud of B. afraid of C. serious about D. interested in 10. —Good morning. I'd like a birthday gift for my mother. —What about this scarf? It is beautiful and it______ soft and smooth. A. feels B. looks C. seems D. becomes 11. Global warming poses a serious ______ for the future. A. defeat B. threaten C. treaty D. threat 12._________ running after success, we have a lot of other interesting things to do in our lives. A. By B. On C. Besides D. Except 13. —Excuse me, sir, visiting hours are over. You _______ leave. —Pardon me, nurse. I didn't hear the bell. A. may B. can C. must D. need 14. The survey ____ that 50% of the old couples live separate from their children. A. reveals B. releases C. recovers D. interveals 15. —Why didn't you cry for help when you were robbed? —If I opened my mouth, they might find my four gold teeth. That would be ______! A. bad B. much worse C. worst D. the worst 16. At the farewell party, Kobe Bryant said, "________ the support of my fans, it would be hard for me to achieve such great success.” A. With B. Under C. Through D. Without 17. —Could you please tell me________ , Sonia? —It's on the first Tuesday of May. We hold special parties and give teachers thank-you notes that day.