EDA试卷一

合集下载

EDA技术EDA技术试卷(练习题库)(2023版)

EDA技术EDA技术试卷(练习题库)(2023版)

EDA技术EDA技术试卷(练习题库)1、个项目的输入输出端口是定义在()。

2、描述项目具有逻辑功能的是()。

3、关键字ARCHITECTURE定义的是。

4、M AXP1USII中编译VHD1源程序时要求()。

5、1987标准的VHD1语言对大小写是()。

6、关于1987标准的VHD1语言中,标识符描述正确的是()。

7、符合1987VHD1标准的标识符是()。

8、VHD1语言中变量定义的位置是()。

9、VHD1语言中信号定义的位置是()。

10、变量是局部量可以写在()。

11、变量和信号的描述正确的是()。

12、关于VHD1数据类型,正确的是()。

13、下面数据中属于实数的是()。

14、下面数据中属于位矢量的是()。

15、可以不必声明而直接引用的数据类型是()。

16、STD_10GIG_1164中定义的高阻是字符()。

17、STD_10GIG」164中字符H定义的是()。

18、使用STD_1OG1G」164使用的数据类型时()。

19、VHD1运算符优先级的说法正确的是()。

20、如果a=1,b=0,则逻辑表达式(aANDb)OR(NOTbANDa)的值是()。

21、不属于顺序语句的是()。

22、正确给变量X赋值的语句是()。

23、EDA的中文含义是()。

24、EPF10K20TC144-4具有多少个管脚()。

25、如果a=1,b=1,则逻辑表达式(aXORb)OR(NOTbANDa)的值是()。

26、MAX+P1USII的,数据类型为std_1ogic_vector,试指出下面那个30、在一个VHD1,数据类型为integer,数据范围0to127,下面哪个赋31、下列那个流程是正确的基于EDA软件的FPGA/CP1D和变量的说法,哪一个是不正确的:()。

33、下列语句中,不属于并行语句的是:()。

34、O在EDA工具中,能将硬件描述语言转换为硬件电路的重要工具软件称为。

35、不是操作符号它只相当与作用〃target=Zb1ank〃>在VHD1的CASE语句中,条件句中的“二>”不是操作符号,它只相当与O作用。

多功能集成电路考核试卷

多功能集成电路考核试卷
A.铜
B.硅
C.铝
D.钨
5.在集成电路设计中,以下哪个参数不是描述晶体管的重要参数?()
A.电流放大倍数
B.饱和电压
C.耗散功率
D.频率响应

C.非门
D.异或门
7. TTL型集成电路的逻辑“1”输出电压通常是()。
A. 0V
B. 5V
C. 10V
A.尺寸缩小
B.集成度提高
C.速度加快
D.功耗降低
E.成本上升
三、填空题(本题共10小题,每小题2分,共20分,请将正确答案填到题目空白处)
1.集成电路(IC)是由许多微小的电子元件组成的,这些元件主要是基于______材料制作的。
2.在数字电路中,逻辑门是实现逻辑功能的基本单元,其中与非门(AND-NOT)的逻辑表达式为______。
B.蚀刻技术
C.化学气相沉积
D.分子束外延
E.离子注入
12.数字集成电路的常见逻辑系列包括以下哪些?()
A. TTL
B. CMOS
C. ECL
D. ICL
E. BiCMOS
13.以下哪些是微电子技术的应用领域?()
A.计算机技术
B.通信技术
C.智能控制
D.医疗电子
E.航空航天
14.集成电路设计中需要考虑的电气特性包括以下哪些?()
7.金属互连是集成电路中用于连接各个器件和层的主要材料。( )
8.集成电路的制造过程中,光刻技术的精度决定了电路的最小特征尺寸。( )
9.在模拟集成电路中,放大器的带宽与晶体管的电流放大倍数成正比。( )
10.随着技术的发展,集成电路的尺寸会越来越大,集成度会越来越低。( )
五、主观题(本题共4小题,每题5分,共20分)

自考计算机辅助设计试卷

自考计算机辅助设计试卷

自考计算机辅助设计试卷一、单项选择题(本大题共20小题,每小题1分,共20分)在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在题后的括号内。

1.计算机辅助设计英文简写为()2. A. CAD B. CAI C. CAE D. CAM3.在AutoCAD软件中,将鼠标指向某一点时,通常显示的信息不包括()4. A. 点的坐标 B. 点的颜色 C. 点的长度 D. 点的面积5.在3D模型渲染过程中,常用的技术是()6. A. 纹理映射 B. 阴影渲染 C. 多边形 D. 二次贝塞尔曲线7.下列哪一项不属于计算机辅助设计的应用领域?()8. A. 建筑 B. 机械 C. 电子 D. 纺织9.在AutoCAD中,使用哪种快捷键可以进行线段的延伸操作?()10. A. EX B. TR C. AR D. ER11.在Photoshop中,用于调整图像亮度的命令是()12. A. Levels B. Curves C. Hue/Saturation D. Brightness/Contrast13.在SolidWorks中,要实现两个三维实体的布尔运算合并,应使用()14. A. Extrusion B. Revolve C. Sweep D. Union15.在UG NX中,用于创建草图截面的快捷键是()16. A. S B. T C. U D. P17.在CATIA中,若要选择多个对象,应按下()键。

18. A. Ctrl B. Shift C. Alt D. Tab19.在Pro/Engineer中,用于创建拉伸特征的命令是()20. A. Extrude B. Sweep C. Thicken D. revolve二、多项选择题(本大题共10小题,每小题2分,共20分)在每小题列出的四个选项中有多个选项是符合题目要求的,请将正确选项前的字母填在题后的括号内。

多选、少选或错选均不得分。

2019-2020学年第一学期《印制电路板设计》试卷A

2019-2020学年第一学期《印制电路板设计》试卷A

2019-2020学年第一学期《印制电路板设计》试卷A一、单项选择题(每题2分,共80分)1. 下列关于原理图元件标号自动排序( Annotate)功能的优先权的叙述,哪项正确? () [单选题] *A.数字设定越小优先权越低B.数字设定越小优先权越高(正确答案)C.数字设定越大优先权越高D.以上都不是2.Altium Designer中 1mil 约等于()。

[单选题] *A.1.54cmB.0.00154cmC.0.00254cm(正确答案)D.2.54cm3. 元件选中后进行旋转或翻转操作的快捷键不包括哪个。

() [单选题] *A.XB. Shift(正确答案)C. SpaceD. Y4. 元件的自动标注操作,是对元件的哪一项属性进行更改操作()。

[单选题] *mentB.NumberC.FootprintD.Designator(正确答案)5. Altium Designer 支持的信号层和内电层数量为()。

[单选题] *A.32 个信号层和 32 个内电层B.16 个信号层和 16 个内电层C.16 个信号层和 32 个内电层D.32 个信号层和 16 个内电层(正确答案)6. 在 PCB 中,封装就是代表()。

[单选题] *A.元件符号B.电路符号C.元件属性D.元件的投影轮廓(正确答案)7. 通常在哪一个板层上来确定板的机械尺寸?() [单选题] *A.Bottom LayerB.KeepOut LayerC. Mechanical Layer(正确答案)D.Top Layer8. 元件放置时可以对元件的属性进行编辑,此时用到的快捷键是() [单选题] * A.ShiftB.CtrlC.TAB(正确答案)D.Space9.在放置导线过程中,可以按(c)键来切换布线模式。

[单选题] *A.Back Space(正确答案)B.EnterC.Shift+SpaceD.Tab10.要打开原理图库编辑器,应执行()菜单命令. [单选题] *A.PCB ProjectB.PCBC.SchematicD.Schematic Library(正确答案)11.对过孔与焊盘区别的论述中,不正确的是:() [单选题] *A.过孔是不安装元件的,而焊盘是需要安装元件的;B.焊盘只能连接顶层和底层的连线,但过孔可以连接任意层的连线;C.一般来说过孔的孔比焊盘的孔要小D.过孔完全可以替代(正确答案)12.在设置 PCB 自动布线规则时,布线拐角的类型不包括() [单选题] *A.45 度B. 90 度C. 135 度(正确答案)D.圆13.在进行原理图文档设置,即设定图纸大小、方向、套用图纸模板等操作,能实现上述操作的是() [单选题] *A.Edit\ChangeB.Design\Document Options(正确答案)C.Tool\Schematic PreferencesD.Project\Project Options14..要调整在放置或者移动“对象”光标移动的距离时,要修改哪种栅格?() [单选题] *A. Visible GridB. Electrical GridC. Snap Grid(正确答案)D. 以上皆可15.绘制元器件封装时,一般在()层中,绘制元件封装的边框。

电子电路创新设计竞赛考核试卷

电子电路创新设计竞赛考核试卷
C.带通滤波器允许一定频率范围的信号通过,抑制其他频率
D.所述所有描述都正确
17.在放大电路中,以下哪种现象可能导致输出信号失真?()
A.过载
B.截止
C.线性范围过小
D.所述所有现象
18.以下哪个是差分放大电路的主要优点?()
A.提高输入阻抗
B.提高输出阻抗
C.抵消共模干扰
D.增大电压增益
19.以下哪种编程语言常用于微控制器编程?()
1.在一个理想的运算放大器中,开环增益趋近于______。
答案:∞
2.晶体三极管有三个引脚,分别是发射极、基极和______。
答案:集电极
3.在N型半导体中,主要载流子是______。
答案:电子
4.一个理想的稳压电源输出电压应该具有很低的______。
答案:纹波系数
5.在数字电路中,逻辑“1”通常对应于______伏特(V)的电压。
答案:Protel、Cadence(或其他合理答案)
10.在电子电路中,为了防止信号反射,应使用______连接器或终端电阻。
答案:匹配阻抗
四、判断题(本题共10小题,每题1分,共10分,正确的请在答题括号中画√,错误的画×)
1.电阻的阻值与温度成正比关系。()
答案:×
2.电容器的容抗与频率成反比关系。()
C.不变
D.无法确定
5.以下哪种电路拓扑主要用于DC-DC转换?()
A.串联电路
B.并联电路
C.反激式电路
D.同步整流电路
6.关于运算放大器,以下哪个描述是正确的?()
A.输入阻抗低
B.输出阻抗高
C.增益带宽积固定
D.无需负反馈即可稳定工作
7.在数字电路中,与非门(NAND)的最小输出高电平是多少?()

EDA试题

EDA试题

1.wire型变量与reg型变量有什么本质区别?它们可以用于什么类型语句中?2.阻塞赋值与非阻塞赋值有何区别?1.用Verilog设计一个3-8译码器。

2.设计一个异步清0,同步时钟使能和异步数据加载型8位二进制加法计数器。

参考例3-22module CNT10(clk,rst,en,load,cout,dout,data);input clk,en,rst,load;input [3:0] data;output[3:0] dout;output cout;reg [3:0] q1; reg cout;assign dout=q1;always@(posedge clk or negedge rst or negedge load) beginif(!rst) q1<=0;else if(!load) q1<=data;else if(en) beginif (q1<9) q1<=q1+1;else q1<=4'b0000;end endalways@(q1)if(q1==4'h9) cout=1'b1;else cout=1'b0;endmodule3.设计一个功能类似74LS160的计数器。

4.设计一个含有异步清零和计数使能的16位二进制加减可控计数器的Verilog HDL描述。

5.设计七人表决器。

module voter7(pass,vote);output pass;input [6:0] vote;reg pass;reg [2:0] sum;always @(vote)beginsum=0;if(vote[0]==1) sum=sum+1'b1;if(vote[1]==1) sum=sum+1'b1;if(vote[2]==1) sum=sum+1'b1;if(vote[3]==1) sum=sum+1'b1;if(vote[4]==1) sum=sum+1'b1;if(vote[5]==1) sum=sum+1'b1;if(vote[6]==1) sum=sum+1'b1;if(sum[2]) pass=0; //若超过4人赞成,则pass=0,LED1亮else pass=1;endendmoduleAltera Xilinx一、填空题(10分,每小题1分)1.用EDA技术进行电子系统设计的目标最终完成 ASIC 的设计与实现。

电子行业面试真题试卷

电子行业面试真题试卷

电子行业面试真题试卷一、选择题(每题2分,共20分)1. 在数字电路中,最基本的逻辑门是以下哪一个?A. 与门(AND)B. 或门(OR)C. 非门(NOT)D. 异或门(XOR)2. 以下哪个是模拟信号的特点?A. 离散的幅度值B. 连续的幅度值C. 数字编码D. 脉冲编码3. 以下哪种半导体材料常用于制造二极管?A. 硅(Si)B. 锗(Ge)C. 碳(C)D. 铝(Al)4. 在电子电路设计中,以下哪个元件用于限制电流?A. 电阻(Resistor)B. 电容(Capacitor)C. 电感(Inductor)D. 二极管(Diode)5. 以下哪个是数字信号的优点?A. 抗干扰能力强B. 易于放大C. 易于衰减D. 易于模拟6. 在电子电路中,以下哪个元件可以存储能量?A. 电阻B. 电容C. 电感D. 二极管7. 以下哪个是集成电路的分类?A. 模拟集成电路B. 数字集成电路C. 混合信号集成电路D. 所有以上8. 以下哪个是微处理器的主要功能?A. 数据存储B. 数据处理C. 数据传输D. 数据显示9. 在电子设备中,以下哪个元件用于转换电能?A. 电阻B. 电容C. 电感D. 变压器10. 以下哪个是电子设备中常见的电磁干扰源?A. 电源线B. 信号线C. 地线D. 所有以上二、简答题(每题10分,共40分)1. 简述数字信号与模拟信号的区别。

2. 解释什么是放大器,并简述其工作原理。

3. 描述电子电路中反馈的概念及其作用。

4. 阐述电子系统中电源管理的重要性。

三、计算题(每题15分,共30分)1. 给定一个串联电路,其中包含一个10Ω的电阻和一个20μF的电容。

如果电路的输入电压为100V,计算电路的总阻抗。

2. 设计一个简单的RC低通滤波器,其截止频率为1kHz。

计算所需电阻和电容的值。

四、论述题(每题10分,共10分)1. 论述现代电子设计自动化(EDA)工具在电子电路设计中的作用和重要性。

edA考试卷(带答案)

edA考试卷(带答案)

2008~2009学年第一学期EDA技术A卷适用:06级电子信息工程专业EDA技术A一、填空题:(共20分,每空1分)1、在VHDL程序设计中,常用的库有(IEEE库)(STD )(WORK )库等。

2、Max_plusII为原理图输入设计配备了各种需要的元件库,它们分别是(基本逻辑元件库)(宏功能元件库)(宏功能块LPM库)。

3、采用原理图输入设计的文件后缀为(.gdf ),采用波形图输入设计的文件后缀为(.wdf )4、在VHDL中的数值类属性测试函数主要有(left)(right)(high )和LOW。

5、FPGA/CPLD的设计流程为(设计输入)、(综合)(适配)(时序仿真与功能仿真)(编程下载)(硬件测试)。

6、若D<= “11” & ‘00’ & “01”,则D的值为(“110001”)。

7、若定义W : BUFFER STD_LOGIC_VECTOR(0 TO 5),程序中有W<=“100111”;则W(2)的值为(0 )。

8、定义signal f,g:std_logic_vector(5 downto 0); 若f的值为“101011”,若执行g<=(5=>f(1),4=>’1’, others=>f(4)); 则g的值是(110000 )。

二、简答题:(共10分)1、VHDL程序一般包括几个组成部分,每部分的作用是什么?答:VHDL程序一般包括3个组成部分,它们是(1)实体,它描述的是电路器件的端口构成和信号属性;(2)结构体,描述设计实体的内部结构和外部设计实体端口间的逻辑关系;(3)库及程序包的声明,在设计实体中的语句可以使用库中相应程序包的数据和文件。

2、什么叫顺序语句,它的适用范围是什么?VHDL有那几种基本的顺序语句?答:执行顺序与它们的书写顺序基本一致的语句叫顺序语句,顺序语句只能出现在进程和子程序中,子程序包括函数和过程。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

一、填空题(本大题共10小题,每空1分,共20 分)1.一般把EDA技术的发展分为MOS时代、CMOS时代和ASIC 三个阶段。

2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。

3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。

4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。

5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。

6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。

7.以EDA方式设计实现的电路设计文件,最终可以编程下载到FPGA 和CPLD 芯片中,完成硬件设计和验证。

8.MAX+PLUS的文本文件类型是(后缀名).VHD 。

9.在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。

10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。

二、选择题:(本大题共5小题,每小题3分,共15 分)。

1.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。

AA .软IP B.固IP C.硬IP D.都不是2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,_________是错误的。

DA.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;D.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。

3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是__C__。

A.FPGA是基于乘积项结构的可编程逻辑器件;B.FPGA是全称为复杂可编程逻辑器件;C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。

4.进程中的变量赋值语句,其变量更新是_________。

AA.立即完成;B.按顺序完成;C.在进程的最后完成;D.都不对。

5. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述______。

DA.器件外部特性;B.器件的综合约束;C.器件外部特性与内部功能;D.器件的内部功能。

6.不完整的IF语句,其综合结果可实现________。

AA. 时序逻辑电路B. 组合逻辑电路C. 双向电路D. 三态控制电路7.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化_________。

B①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法A. ①③⑤B. ②③④C. ②⑤⑥D. ①④⑥8.下列标识符中,__________是不合法的标识符。

BA. State0B. 9moonC. Not_Ack_0D. signall9.关于VHDL中的数字,请找出以下数字中最大的一个:__________。

AA.2#1111_1110#B.8#276#C.10#170#D.16#E#E110.下列EDA软件中,哪一个不具有逻辑综合功能:________。

BA.Max+Plus IIB.ModelSimC.Quartus IID.Synplify11.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A. 仿真器B.综合器C.适配器D.下载器12.在执行MAX+PLUSⅡ的(d )命令,可以精确分析设计电路输入与输出波形间的延时量。

A .Create default symbol B. SimulatorC. CompilerD.Timing Analyzer13.VHDL常用的库是(A )A. IEEEB.STDC. WORKD. PACKAGE14.下面既是并行语句又是串行语句的是(C )A.变量赋值B.信号赋值C.PROCESS语句D.WHEN...ELSE语句15.在VHDL中,用语句(D )表示clock的下降沿。

A. clock'EVENTB. clock'EVENT AND clock='1'C. clock='0'D. clock'EVENT AND clock='0'1.EDA设计流程一般包括输入、设计、验证和下载4个步骤;2.EDA的设计输入法中常用的有文本输入、原理图和波形仿真3种;3.功能仿真是在设计输入完成后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为后仿真;4.当前最流行的并成为IEEE标准的硬件描述语言包括VHDL 和VERILOG-HDL ;5.硬件描述语言HDL给PLD和教学系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为TOP-DOWN 的方法;6.将硬件描述语言转化为硬件电路的重要工具软件称为HDL综合器;7.用MAX+PLUSⅡ的输入法设计的文件不能直接保存在根目录下,因此设计者在进入设计之前,应当在计算机中建立保存设计文件的文件夹;8.图形文件设计结束后一定要通过编译,检查设计文件是否正确;9.指定设计电路的输入/输出端口与目标芯片引脚的锁定后,再次对设计电路的仿真称为后仿真;10.以EDA方式实现的电路设计文件,最终可以编程下载到FPGA 或CPLD 芯片中,完成硬件设计和验证;11.一般将一个完整的VHDL程序称为独立的电路;12.用VHDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能块独立存在和独立运行;13.VHDL设计实体的基本结构由实体、结构体、库、程序包和配置部分组成;14.实体和结构体是设计实体的基本组成部分,它们可以构成最基本的VHDL程序;15.IEEE于1987年公布了VHDL的87 标准;16.IEEE于1993年公布了VHDL的93 语法标准;17.在VHDL中最常用的库是IEEE 标准库;18.VHDL的实体是由实体申明部分和端口说明部分组成;19.VHDL的实体声明部分指定了设计单元的输入端口或输出断口,它是设计实体对外的一个通信界面,是外界可以看到的部分;20.VHDL的结构体用来描述设计实体的逻辑结构和逻辑功能,它由VHDL语句构成是外界看不到部分;21.在VHDL的数据端口声明语句中,端口方向包括IN 、OUT 、INOUT 和BUFFER ;22.VHDL的数据对象包括信号、变量和常数,它们是用来存放各种类型数据的容器。

23.VHDL的变量(VARIABLE)是一个局部量,只能在进程、函数和过程中声明和使用;24.VHDL的信号(SIGNAL)是一种数值容器,不仅可以容纳当前值,也可以保持原态;25.VHDL的数据类型包括标量型、复合型、符号型和存取型;26.在VHDL中,标准逻辑位数据有9 种逻辑值;27.VHDL的操作符包括逻辑运算、关系运算、算术运算和并制运算4类;28.VHDL的基本描述语句包括并行语句和顺序语句;29.VHDL的顺序语句只能出现在进程、函数和过程中,是按程序书写的顺序上而下,一条一条执行;30.VHDL的并行语句在结构体中的执行是并行的,其执行方式与语句书写顺序无关;31.VHDL的PROCESS语句是由并行组成的,但其本身却是顺序;33.VHDL的并行信号赋值语句的赋值目标必须都是信号;34.元件例化是将预先设计好的设计实体作为一个模块或元件,连接到当前设计实体中一个指定的端口。

1.将设计的系统或电路按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程称为(A );A 设计输入B 设计输出C 仿真D 综合2.VHDL属于(B )描述语言;A 普通硬件B 行为C 高级D 低级3.包括设计编译和检查、逻辑优化和综合、适配和分割、布局和布线、生成编程数据文件等操作的过程称为(B );A 设计输入B 设计处理C 功能仿真D 时序仿真4.VHDL是在(B )年正式推出的;A 1983B 1985C 1987D 19895.在C语言的基础上演化而来的硬件描述语言是(A );A VHDLB VerilogHDLC AHD D CUPL6.基于硬件描述语言HDL的数字系统设计目前最常用的设计方法称为(B )设计法;A 自底向上B 自顶向下C 积木式D 顶层7.在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件称为(B );A 仿真器B 综合器C 适配器D 下载器8.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C );A 仿真器B 综合器C 适配器D 下载器9.MAX+PLUSⅡ是(C );A 高级语言B 硬件描述语言C EDA工具软件D 综合软件10.使用MAX+PLUSⅡ的图形编辑方式输入的电路原理图文件必须通过(B )才能进行仿真验证;A 编辑B 编译C 综合D 编程11.MAX+PLUSⅡ的设计文件不能直接保存在(A );A 硬盘B 根目录C 文件夹D 工程目录12.使用MAX+PLUSⅡ工具软件建立仿真文件,应采用(D )方式;A 图形编辑B 文本编辑C 符号编辑D 波形编辑13.在MAX+PLUSⅡ工具软件中,完成编译网表提取、数据库建立、逻辑综合、逻辑分割、适配、延时网表提取和编程文件汇编等操作,并检查设计文件是否正确的过程称为(B );A 编辑B 编译C 综合D 编程14.在MAX+PLUSⅡ集成环境下为图形文件产生一个元件符号的主要用途是(D );A 仿真B 编译C 综合D 被高层次电路设计调用15.执行MAX+PLUSⅡ的(D )命令,可以精确分析设计电路输入与输出波形间的延时量;A Create Default SymbolB SimulatorC CompilerD Timing Analyzer16.执行MAX+PLUSⅡ的(B )命令,可以对设计电路进行功能仿真或时序仿真;A Create Default SymbolB SimulatorC CompilerD Timing Analyzer17.执行MAX+PLUSⅡ的(A )命令,可以为设计电路建立一个元件符号;A Create Default SymbolB SimulatorC CompilerD Timing Analyzer18.执行MAX+PLUSⅡ的(C )命令,可以检查设计电路错误;A Create Default SymbolB SimulatorC CompilerD Timing Analyzer19.MAX+PLUSⅡ的波形文件类型是(A );A ..scfB .gdfC .vhdD .v20.MAX+PLUSⅡ的图形设计文件类型是(B );A ..scfB .gdfC .vhdD .v21.VHDL的设计实体可以被高层次的系统(D ),成为系统的一部分;A 输入B 输出C 仿真D 调用22.VHDL常用的库是(A )标准库;A IEEEB STDC WORKD PACKAGE 23.VHDL的实体声明部分用来指定设计单元的(D );A 输入端口B 输出端口C 引脚D 以上均可24.一个实体可以拥有一个或多个(B );A 设计实体B 结构体C 输入D 输出25.在VHDL中,32_123_456属于(A )文字;A 整数B 以数制基数表示的C 实数D 物理量26.在下列标识符中,(A )是VHDL错误的标识符号;A 4h_addeB h_adde4C h_adde_4D h_adde27.在VHDL中,(C )不能将信息带出对它定义的当前设计单元;A 信号B 常量C 数据D 变量28.在VHDL中,为目标变量的赋值符号的是(C );A = :B =C :=D <=29.在VHDL中,为定义信号名时,可以用(D )符号为信号赋初值;A = :B =C :=D <=30.在VHDL的IEEE标准库中,预定义的标准逻辑位数据STD_LOGIC有(9 )种逻辑值;A 2B 3C 8D 931.在VHDL的IEEE标准库中,预定义的位数据类型BIT有(A )种逻辑值;A 2B 3C 8D 932.在VHDL中,用语句(B )表示检测clock的上升沿;A clock'EVENTB clock'EVENT AND clock='1'C clock='0'D clock'EVENT AND clock='0'33.在VHDL中,含WAIT语句的进程PROCESS的括弧中后(B )再加敏感信号,否则是非法的;A 可以B 不能C 任意D 只能34.在VHDL中,PROCESS结构本身是由(A )语句组成的;A 顺序B 顺序和并行C 并行(PROCESS之间)D 任何35.在VHDL的进程语句格式中,敏感信号表列出的是设计电路的(A )信号;A 输入B 输入和输出C 输出D 时钟二、EDA名词解释,写出下列缩写的中文(或者英文)含义:(10分)1.FPGA Field-Programmable Gate Array 现场可编程门阵列2.VHDL Very-High-Speed Integrated Circuit Hardware Description Language)超高速集成电路硬件描述语言3.HDL Hardware Description Language硬件描述语言5.CPLD Complex Programmable Logic Device复杂可编程逻辑器件6.PLD Programmable Logic Device 可编程逻辑器件7.GAL generic array logic通用阵列逻辑B Logic Array Block逻辑阵列块9.CLB Configurable Logic Block 可配置逻辑模块10.EAB Embedded Array Block 嵌入式阵列块11.SOPC System-on-a-Programmable-Chip 可编程片上系统12.LUT Look-Up Table 查找表13.JTAG Joint Test Action Group 联合测试行为组织14.IP Intellectual Property 知识产权核15.ASIC Application Specific Integrated Circuits 专用集成电路16.ISP In System Programmable 在系统可编程17.ICR In Circuit Re-config 在电路可重构18.RTL Register Transfer Level 寄存器传输19.EDA Electronic Design Automation 电子设计自动化20.SOC System on a Chip 单芯片系统。

相关文档
最新文档