汽车尾灯控制电路(课程设计报告)
汽车尾灯控制电路课程设计报告

汽车尾灯控制电路设计第1章设计任务及要求1.1设计任务设计一个汽车尾灯控制电路,用六个发光二极管模拟汽车尾灯(左右各三个),用开关J1、J2选择控制汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。
1.2设计要求1、汽车正常运行时尾灯全部熄灭。
2、汽车左转弯时左边的三个发光二极管按顺序循环点亮。
3、汽车右转弯时右边的三个发光二极管按顺序循环点亮。
4、汽车刹车时所有的指示灯随CP脉冲同时闪烁。
设计要求具体见表1-1。
表1-1 汽车尾灯显示状态变化表第2章设计方案2.1 汽车尾灯设计要求汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。
1.汽车正常运行时指示灯全灭2.汽车右转弯时,右侧3个灯按右循环顺序点亮3.汽车左转弯时,左侧3个灯按左循环顺序点亮4.汽车临时刹车时所有指示灯同时闪烁2.2 设计原理及原理框图汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。
由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。
首先,设置两个可控的开关,可产生00、01、10、11四种状态。
开关置为00状态时,汽车处于正常行驶状态;开关置为01状态时,汽车处于右转弯的状态;开关置为10状态时,汽车处于左转弯的状态;开关置为11状态时,汽车处于刹车状态。
三进制计数器可由J-K触发器构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。
原理图如2-1所示:图 2-1 原理框图第3章电路设计3.1 译码、显示驱动电路译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。
有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。
74LS138是一种译码器,由于74LS138有3个输入端、8个输出端,所以,又称为3线~8线译码器。
汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计一、课程设计基本信息1、课程名称:汽车尾灯控制电路数电课程设计2、课时安排:第一次课:课程介绍、研究的意义和内容、实验要求介绍、实验前的电路准备第二次课:实验前的电路调试、实验正式开始前的注意事项介绍第三次课:实验过程实施、实验过程问题排查第四次课:实验结果分析、实验总结3、教学内容:(1)汽车尾灯控制电路的主要原理:汽车尾灯控制电路利用电流控制开关连接在电池和汽车尾灯之间,控制尾灯的亮灭。
(2)器件构成:该电路中包括电池、电流控制开关、导线以及汽车尾灯。
(3)根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
(4)将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
(5)按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
(6)对所搭建的汽车尾灯控制电路进行实验,完成课程设计任务。
4、实验仪器及材料:(1)电源:12V电池或12V电缆;(2)电流控制开关:DIP开关;(3)导线:带插头的钢化导线;(4)汽车尾灯:圆形汽车尾灯。
二、课程实验的主要内容1、介绍汽车尾灯控制电路的主要原理,以及研究的意义和内容。
2、介绍实验要求,以及实验前的电路准备。
3、根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。
4、将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。
5、按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。
6、实验过程中出现问题时,采用问题定位法,对实验中出现的问题进行定位及排查。
7、完成实验后,进行实验结果分析,并对实验总结进行评价。
课程设计报告—-汽车尾灯

课程设计任务书学生姓名:吴舟专业班级:电子科学与技术0801班指导教师:吴友宇工作单位:信息工程学院题目: 汽车尾灯控制器的电路设计初始条件:本设计既可以使用中、小规模集成电路芯片7400、7404、74138、7476、7486和其它器件实现对汽车尾灯显示的控制功能。
本设计也可以使用单片机系统构建简易频率计。
工作电源Vcc为12V。
电路组成框图如图1所示:图1汽车尾灯控制电路图要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周。
2、技术要求:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:①汽车正常行驶时,尾灯全部熄灭。
②当汽车右转弯时,右侧3个指示灯按右循顺序点亮。
③当汽车左转弯时,左侧3个指示灯按左循顺序点亮。
④临时刹车时,所有指示灯同时闪烁。
⑤选择电路方案,完成对确定方案电路的设计。
计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。
制作实际运行装置。
3、查阅至少5篇参考文献。
按《武汉理工大学课程设计工作规范》要求撰写设计报告书。
全文用A4纸打印,图纸应符合绘图规范。
时间安排:1、 2010 年 6 月 28日集中,作课设具体实施计划与课程设计报告格式的要求说明。
2、 2010 年 6 月 28 日,查阅相关资料,学习电路的工作原理。
2、 2010 年 6 月 29 日至 2010年 6月 29 日,方案选择和电路设计。
2、 2010 年 6月 30 日至 2010 年 7 月 1 日,电路调试和设计说明书撰写。
3、 2010 年 7 月 2 日上交课程设计成果及报告,同时进行答辩。
课设答疑地点:鉴主13楼电子科学与技术实验室。
指导教师签名:年月日系主任(或责任教师)签名:年月日摘要在汽车驾驶中,为保证安全形式需要各种警示灯辅助驾驶,如:汽车在启动、转弯和刹车时,尾灯的亮灭时有一定规律的。
本文通过观察设计了汽车尾灯控制器,当汽车刹车时,尾灯不停地闪烁;当汽车左转弯时,左侧的尾灯按左方向循序亮;当汽车右转弯时,右侧的尾灯按有方向循序亮。
电子技术课程设计汽车尾灯控制电路

电子技术课程设计——汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路一、 设计任务与要求(1) 内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。
(2) 要求:当汽车往前行驶(此时两个都未接通),6盏全灭。
当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。
当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。
二、 总体框图时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。
多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选lfen。
当转到right开关时,输出选rten.当两个都不开时,输出选lR,它是一个清零端。
左右边控制模块是控制它的输出,使它们的输出依次进行。
灯是起到亮灭的作用。
三、选择器件(1)CK起到了给左右两个模块一个脉冲。
(2)CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用。
(3)LEFTP是一个左灯控制模块,是一个沿时作用,它使3个输出灯依次亮灭。
(4)RIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭。
四、功能模块1、(1)时钟脉冲的VHDL语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ck isport(clk:in std_logic;cp:out std_logic);end ck;architecture a of ck issignal cnter:std_logic_vector(7 downto 0);beginprocess(clk)beginif clk'event and clk='1'thencnter<=cnter+1;end if;end process;cp<=cnter(3);end a;(2)时钟脉冲的生成符号CK的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲。
数字电路课程设计汽车尾灯控制电路报告.

沈阳航空航天大学课程设计(说明书)汽车尾灯控制电路设计班级/ 学号04070202-2072学生姓名张小田指导教师赵敏沈阳航空航天大学课程设计任务书课程名称电子技术综合课程设计院(系)专业班级202 学号姓名课程设计题目汽车尾灯控制电路设计课程设计时间: 2 年12月24 日至 2 年1 月6 日课程设计的内容及要求:一、设计说明在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。
假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。
其原理参考框图如图1所示。
开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1 汽车尾灯控制电路原理框图二、技术指标1.汽车正常运行时指示灯全灭;2.汽车右转弯时,右侧三个指示灯按右循环顺序点亮;3.汽车左转弯时,左侧三个指示灯按左循环顺序点亮;4.汽车临时刹车时所有指示灯同时闪烁。
三、设计要求1.汽车尾灯控制电路的直流稳压电源要求自行设计。
2.在选择器件时,应考虑成本,要求指示灯用发光二极管模拟。
3.根据技术指标,通过分析计算确定电路和元器件参数。
4.画出电路原理图(元器件标准化,电路图规范化)。
四、实验要求1.根据技术指标制定实验方案;验证所设计的电路。
2.进行实验数据处理和分析。
五、推荐参考资料1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告指导教师年月日负责教师年月日学生签字年月日成绩评定表评语、建议或需要说明的问题:成绩指导教师签字:日期:汽车尾灯控制电路设计一. 概述1.首先由开关控制电路,进而控制汽车尾灯闪亮,开关电路包括:非门,异或门,与非门,电阻,直流稳压电源。
分别控制输出的高低电平,以便达到控制各芯片工作的目的。
《数字电子技术课程设计》——汽车尾灯控制电路

《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。
二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。
2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。
3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。
4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。
(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。
(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。
(4)临时刹车时,所有指示灯同时闪烁。
三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。
1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。
(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。
2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。
3-8译码器是低电平有效,从而控制尾灯按要求点亮。
3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。
2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。
选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。
3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。
将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。
发光二极管将让的的点亮和熄灭。
这部分电路需要确保足够的电流能够流过LED,以使其正常发光。
汽车尾灯控制电路设计与总结报告

汽车尾灯控制电路设计与总结报告一.设计要求设计一个汽车尾灯控制电路,用6只发光二极管模拟6只汽车尾灯,左右各三只。
用四个开关分别模拟刹车信号K1,停车信号K2,左转弯信号KL,右转弯信号KR。
⑴正常情况下,汽车左(或右)转弯时,该侧的三只尾灯按图9-21-1所示的周期亮、暗,状态转换时间为1s,直至断开该转向开关;⑵无制动时(无刹车,K1=“0”),若司机不慎将两个转向开关接通,则两侧尾灯都作同样的周期变化,示意图同图9-21-1;●●●→○●●→○○●→○○○→●●●(a)右转弯●●●←○○○←●○○←●●○←●●●(b)左转弯●暗○亮⑶在刹车制动时(K1=“1”),所有6只尾灯同时亮;⑷停车时(K2=“1”),6只尾灯均按1Hz频率闪亮,直到K2=“0”为止。
二.总体设计构思设计基于两片74LS194来实现LED的左右移动,采用555芯片电路产生所需的1Hz脉冲。
1.1HZ脉冲发生器电路(1)运用555芯片输出1HZ脉冲的原理:接通电源后,电容C3被充电,Vc上升,当Vc上升到2Vcc/3时,触发器被复位,放电三极管T导通,此时3脚输出低电平,电容通过R2和T使Vc下降。
当Vc 下降到Vcc/3时,触发器被置位,3脚翻转输出高电平。
当C3放电结束时,T截止,Vcc将通过R1,R2向电容C3充电。
当Vc上升到2Vcc/3时,触发器又发生翻转,周而复始就在输出端得到一个周期性的方波。
f=1/(Tpl+Tph)=1.43/[(R1+2R2)C],Tph=0.7*(R1+R2)*C,Tpl=0.7*R2*C,通过计算可得选取R1=40k,R2=51k,C3=10uF则输出为频率为1Hz的脉冲波信号。
2.LED左转右转循环点亮电路设计与选择由两片双向4位移位寄存器(即两片74LS194),一块74HC21,两块4071加外围的LED显示电路实现。
工作原理:通过两个开关控制CR端的高低电平变化,当CR为低电平时,实现清除功能,使输出全为0,寄存器正常工作时CR为高电平。
课程设计-汽车尾灯控制电路设计

课程设计-汽车尾灯控制电路设计汽车尾灯控制电路是指在汽车照明系统中,以确保行车安全和减少照明系统损耗为目的,实现尾灯的衔接、协调点亮的电路系统。
该电路系统具有可靠的工作性能,可在脉冲信号输入的基础上精确控制尾灯灯光的亮度和频率,从而达到节能减排和安全而又省电的效果。
汽车尾灯控制电路是一种模拟电路,其主要构成由控制器、变频器、电力变换器和LED灯元件构成,以及电池供电系统。
控制器是处理尾灯灯光各种变化信号的核心,可根据实际情况进行照明系统的调节,如行车速度的改变、车辆的夜间行车、城市道路上的车流量等,以保证尾灯灯光的有效控制。
变频器功能是指把低频电压变为高频电压,再通过电力变换器与LED灯元件结合,实现对LED灯元件输出功率的控制和调节,保证LED灯产生不同亮度和频率的灯光。
电池供电系统为汽车尾灯控制电路提供所需电容量,同时兼顾节能减排和省电的设计,使得尾灯更加安全有效。
尾灯控制电路的设计要求除了具有高可靠性之外,可靠的防错性能也必不可少。
首先,输入脉冲信号必须通过电路芯片的转换器从低频变为高频,以防止尾灯的恒流灯光,同时保证尾灯的灵活调节。
其次,电池供电系统必须具备安全可靠的保护功能,防止超流短路,超温和其他可能损坏组件的供电意外情况发生,以保证系统的正常工作性能和可靠性。
最后,LED灯元件设计要求考虑到结构小巧、体积轻、节能耐用的特点,以满足尾灯的模块化设计。
总之,汽车尾灯控制电路是安全驾驶和照明系统可靠性的关键环节,设计要求必须兼顾可靠性、灵活性和节能等多种因素,以保证照明系统的安全性和便捷性。
其中又以输入脉冲信号的转换、变频器技术和LED灯元件设计为核心,可满足对车辆尾灯高性能要求和实现可靠的节能减排效果。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
目录
摘要 (1)
一、设计任务 (2)
二、实验目的 (2)
三、总体设计方案 (2)
3.1 设计思路 (2)
3.2 设计原理 (3)
四、电路组成 (3)
4.1 模式控制电路 (3)
4.2 时钟信号源 (4)
4.3 驱动电路和显示电路 (4)
五、硬件电路安装、调试 (6)
5.1 遇到的主要问题 (6)
5.2 现象记录和原理分析 (6)
5.3 解决措施及效果 (6)
六、仿真结果 (6)
七、实验总结和体会 (9)
八、参考文献 (9)
九、附录 (9)
摘要
随着经济的发展,汽车越来越被人们所需要,而由此也引发了一系列问题。
比如,因为汽车突然转向所引发的车祸经常出现。
如果汽车转弯可以通过尾灯的状态变化来确定,就可以提示司机、行人朋友们车子正在转弯,一定程度的避免
车祸的发生。
因此,本方案设计了一个“汽车尾灯控制电路”。
“汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入和非门74LS00、555定时器及电阻、电容进行搭建。
综合数字电路和模拟电路的知识,提升了我们处理实际问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。
【关键词】:汽车尾灯控制电路;74LS194;74LS00;555定时器。
一、设计任务
设计一个汽车尾灯控制电路,要求汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮;在左转弯时,左侧3个指示灯按左循环顺序点亮;在临时刹车时,所有指示灯同时点亮。
二、实验目的
1、锻炼学生综合运用电子技术基础知识以及动手能力;
2、提高学生使用中规模集成芯片以及调试较大型电子系统的能力;
3、使学生了解基本逻辑单元电路在实际生活中的使用,为今后进行复杂的综合
型电子系统的设计和调试打下基础。
三、总体设计方案
3.1 设计思路
分析以上设计任务,由于汽车左转弯或右转弯时,3个指示灯循环点亮,所以用双向移位寄存器74LS194顺序输出高电平,从而控制尾灯按要求点亮。
由此得出在每种运行状态下,各指示灯和给定条件间的关系,即逻辑功能表1-1所示。
汽车尾灯控制电路设计总体框图如表1-1所示。
表1-1 汽车尾灯和汽车运行状态
开关控制汽车运行状
态右转尾灯左转尾灯
S
1 S
2
D
1
D
2
D
3
D
4
D
5
D
6
0 0 正常运行灯灭灯灭
0 1 右转弯按D
1D
2
D
3
顺序循环点
亮
灯灭
1 0 左转弯灯灭按D
4D
5
D
6
顺序循环点亮
1 1 临时刹车 所有尾灯同时点亮
3.2 电路设计原理
图3.2.1 汽车尾灯控制电路设计总体框图
图3.2.2 汽车尾灯控制电路原理图
四、电路组成
4.1 模式控制电路
控制驱动电路的工作模式。
控制电路 (开关) 驱动电路 (74LS00、 71LS194)
右显示函数
左显示函数 触发信号
图4.1 模式控制电路
4.2 时钟信号源
时钟信号源是由555定时器组成的多谐振荡器,振荡周期为T=0.7(R1+2R2)C。
时钟信号源如图4.2所示:
图4.2 时钟信号源
4.3驱动电路和显示电路
(1)S1=0,S2=0时,U1:~CLR= 0(S1=0,S0=0),清零功能,QA=0、QB=0、QC=0、QD=0(此时~CLR=0);U2:~CLR=0(S1=0,S0=0),清零功能,QA=0、QB=0、QC=0、QD=0(此时~CLR=0);
显示部分:LED1、LED2、LED3、LED4、LED5、LED6均不亮;正常运行状态。
(2)S1=1,S2=1时,U1:~CLR=1(S1=1,S0=1),并行输入功能,QA=1、QB=1、QC=1、QD=1(此时~CLR=1);U2:~CLR=1(S1=1,S0=1),并行输入功能,QA=1、QB=1、QC=1、QD=1(此时~CLR=1);
显示部分:LED1、LED2、LED3、LED4、LED5、LED6同时亮;临时刹车状态。
(3)S1=0,S2=1时,U1:~CLR=0(S1=1,S0=0),清零功能,QA=0、QB=0、QC=0、QD=0(此时~CLR=1);U2:~CLR=1(S1=0,S0=1),右移功能,当QD=1时,~CLR=0,清零功能,此时,QD=0,~CLR=1(S1=1,S0=0),右移功能,周而复始;显示部分:LED1、LED2、LED3依次亮、最后熄灭(循环亮灭),LED4、LED5、LED6均不亮;右转弯状态。
(4)S1=1,S2=0时,U1:~CLR=1(S1=0,S0=1),右移功能,当QD=1时,~CLR=0,清零功能,此时,QD=0,~CLR=1(S1=1,S0=0),右移功能,周而复始;U2:~CLR=0(S1=1,S0=0),清零功能,QA=0、QB=0、QC=0、QD=0(此时~CLR=1);
显示部分: LED1、LED2、LED3均不亮,LED4、LED5、LED6依次亮、最后熄灭(循环亮灭);左转弯状态。
图4.3.1 控制电路
图4.3.2 LED显示电路
五、硬件电路安装、调试
5.1 遇到的主要问题
按以上设计连接好电路后,看不到明显的现象。
5.2 现象记录和原因分析
灯亮时亮度明显不够;限流电阻太大。
5.3 解决措施及效果
将六个电阻换上阻值更小的200Ω左右,直接用逻辑开关控制灯。
经过验证后,能得出预期效果。
六、仿真结果
(1)正常运行状态
图6.1 正常运行状态(2)临时刹车状态
图6.2 紧急刹车状态(3)左转弯状态
图6.3 左转弯状态
(4)右转弯状态
图6.4 右转弯状态
七、实验总结和体会
通过本次课程设计,我更加扎实的掌握了有关数字集成芯片使用方面的知识,虽然在设计中遇到了许多棘手的问题,但经过认真的思考,仔细的检查终于
找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。
鉴于此,我会更加努力的学习以充实自己。
本次课程设计不仅培养了我科学严谨的学习态度和独立思考、勤于动手的能力,而且还培养了我们的团队协作精神。
使我认识到团结就是力量,只有互相之间默契融洽的配合才能换来最终完美的结果。
相信这次课程设计过后,我的理论水平和实践动手能力都会有一个质的飞跃,也为今后的实践和理论课程的学习打下了坚实的基础。
当然设计能够做到这样的完美,也离不开于春晓、胡庆生等各位老师的悉心指导、点化和无私的帮助。
在此表示深深地感谢,也由衷的祝愿你们身体健康,工作顺利,心想事成,万事如意!
八、参考文献
【1】《数字电子基础基础》阎石主编高等教育出版社 2006版
【2】《模拟电子技术基础》童诗白、华成英主编高等教育出版社2006版【3】《电子电路测试于实验》朱定华编清华大学出版社 2004版
【4】《数字电子技术实验指导书》机电和土木工程系实验室编青岛工学院出版社 2012版
九、附录
【1】器件清单
【2】汽车尾灯控制电路原理图
附录【1】器件清单
74LS00 四2输入和非门(2片)
74LS194 四位双向移位寄存器(2片)
555定时器(1个)
单刀双掷开关(2个)
电阻:100 Ω(1个)、200 Ω(6个)、1KΩ(1个)、
100KΩ(1个)、510 KΩ(1个)
电容:2μF(1个)、10nF(1个)
LED 发光二极管(6个)
附录【2】汽车尾灯控制电原理图
图附录2 汽车尾灯控制电原理图
声明:此篇论文为湖南工学院2010级电子信息工程专业电子技术课程设计,由谭国良原创,侵权必究。