单片机课程设计之自动打铃系统

单片机课程设计之自动打铃系统
单片机课程设计之自动打铃系统

单片机课程设计之自动打铃系统

这是我们本学期的单片机课程设计题目,程序就是在昨天的数字钟的基础上增加了一些内容,不想继续做了,还有一门考试要复习。

设计一台自动打铃系统

一、设计任务

用单片机器件为主体,设计一台自动打铃系统。

(1)按照设计标准,画出系统框图和系统硬件电路图。

(2)完成该课题的程序设计,提交程序设计框图及程序设计清单。

(3)提交课程设计报告

二、设计要求

(一)基本要求

(1)基本计时和显示功能(用12小时制显示)。包括上下午标志,时、分的数字显示,秒信号指示。

(2)能设置当前时间(含上、下午,时,分)

(3)能实现基本打铃功能,规定:

上午6:00起床铃:打铃5秒、停2秒、再打铃5秒。

下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。

铃声可用小喇叭播放,凡是用到铃声功能的均按此处理

(二)发挥部分

(1)增加整点报时功能,整点时响铃5秒,要求有控制启动和关闭功能。

(2)增加调整起床铃、熄灯铃时间的功能。

(3)增设上午4节课的上下课打铃功能,规定如下:

7.30 上课,8.20下课:8.30上课,9.20下课;9.40 上课,10.30下课;10.40上课,11.30下课;每次铃声5秒。

(4)特色和创新自选。

三、设计步骤

(1)设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD或LED的显示屏;

(2)进行软件设计,利用单片机系统时钟先设计一个高精度的内部时钟系统,最小精确时间为期1秒;

(3)在秒计数器的基础上设计一个24小时时钟,并设计若干定时功能;

(4)设计打铃执行机构,完成自动打铃功能。

四、课程设计说明书要求

后面略......

公开我的主要设计资料:

主程序流程图:

汇编程序清单:

/***************************************************************

程序名称:51单片机自动打铃系统

简要说明:实现24小时制电子钟,8位数码管显示,显示时分秒显示格式:23-59-59(小时十位如果为0则不显示)

到预定时间启动蜂鸣器模拟打铃,蜂鸣器BEEP:P3.7

打铃方式分起床、熄灯铃和上、下课铃两种

系统使用4只按键,3只按键用来调整时间,fpc连接器,防水连接器,连接器论坛,供应另一只为强制打铃按钮

调整选择键SET_KEY:P1.0;通过选择键选择调整位,选中位闪烁

增加键ADD_KEY:P1.1;按一次使选中位加1

减少键DEC_KEY;P1.2;按一次使选中位减1

如果长按ADD_KEY或DEC_KEY,识别后则进行调时快进,此时停止闪烁如果选中位是秒,则按增加键或减少键都是将秒清零

强制打铃键DALING_KEY:P1.3;用来强制打铃或强制关闭铃声

P0口输出数码管段选信号,P2口输出数码管位选信号。晶振12M

编写:https://www.360docs.net/doc/0c7207334.html,

最后更新:09/1/2晚

***************************************************************/ BEEP EQU P3.7;定义蜂鸣器(电铃)控制信号输出口

ORG 0000H;程序入口地址

LJMP START

ORG 000BH;定时器0中断入口地址

LJMP TIMER_0

ORG 0300H

/*****程序开始,初始化*****/

START:

SETB BEEP;关闭蜂鸣器(电铃)

SETB 48H;使用一个bit位用于调时闪烁标志

SETB 47H;使用一个bit位用于产生脉冲用于调时快进时基

CLR 45H;关闭响铃方式1标志

CLR 44H;关闭响铃方式2标志

MOV R1,#0;调整选择键功能标志:0正常走时、1调时、2调分、3调秒

MOV 20H,#00H;用于控制秒基准时钟源的产生

MOV 21H,#00H;清零秒寄存器

MOV 22H,#00H;清零分寄存器

MOV 23H,#00H;清零时寄存器

MOV 24H,#00H;用于控制调时闪烁的基准时钟的产生

MOV IP,#02H;IP,IE初始化

MOV IE,#82H

MOV TMOD,#01H;设定定时器0工作方式1

MOV TH0,#3CH

MOV TL0,#0B0H;赋定时初值,定时50ms

SETB TR0;启动定时器0

MOV SP,#40H;重设堆栈指针

/*****主程序*****/

MAIN:

CJNE R1,#00H,MAIN1;是否为正常走时状态

LCALL BIJIAO1;调用起床、熄灯打铃比较子程序

LCALL BIJIAO2;调用上、下课打铃比较子程序

LCALL DALING1;调用响铃方式1执行子程序

LCALL DALING2;调用响铃方式2执行子程序

MAIN1:

LCALL DISPLAY ;调用显示子程序

LCALL KEY_SCAN ;调用按键检测子程序

JZ MAIN;无键按下则返回重新循环

LCALL SET_KEY ;调用选择键处理子程序

JB 46H,MAIN;如果已进行长按调整(调时快进),则不再执行下面的单步调整

LCALL ADD_KEY;调用增加键处理子程序

LCALL DEC_KEY;调用减少键处理子程序

LCALL DALING_KEY;处理强制打铃/强制关闭铃声键

LJMP MAIN ;重新循环

/*****定时中断服务程序*****/

TIMER_0:

PUSH ACC

PUSH PSW ;保护现场

MOV TH0,#3CH

MOV TL0,#0B0H ;重新赋定时初值

CPL 47H;产生脉冲用于调时快进时基

INC 24H

MOV A,24H

CJNE A,#10,ADD_TIME;产生0.5秒基准时钟,用于调时闪烁

CPL 48H;取反调时闪烁标志位

MOV 24H,#00H

ADD_TIME:

INC 20H

MOV A,20H

CJNE A,#20,RETI1;产生1秒基准时钟MOV 20H,#00H ;一秒钟时间到,清零20H MOV A,21H

ADD A,#01H

DA A ;作十进制调整

MOV 21H,A

CJNE A,#60H,RETI1

MOV 21H,#00H ;一分钟到

MOV A,22H

ADD A,#01H

DA A

MOV 22H,A

CJNE A,#60H,RETI1

MOV 22H,#00H ;一小时到

MOV A,23H

ADD A,#01H

DA A

MOV 23H,A

CJNE A,#24H,RETI1

MOV 23H,#00H ;到24点,清零小时

RETI1:

POP PSW

POP ACC ;恢复现场

RETI ;中断返回

/*****显示处理*****/

DISPLAY:

MOV A,21H ;秒

ANL A,#0FH

MOV 2FH,A;转换出秒个位,存入2FH MOV A,21H

ANL A,#0F0H

SWAP A

MOV 2EH,A;转换出秒十位,存入2EH

JB 46H,MIN;如果长按按键(调时快进),则跳过闪烁处理CJNE R1,#3,MIN;如果R1为3,闪烁秒位待调整

JB 48H,MIN

MOV 2FH,#0AH;使该位为10,查表得到使该位不显示的输出MOV 2EH,#0AH

MIN:

MOV A,22H ;分

ANL A,#0FH

MOV 2DH,A;转换出分个位,存入2DH

MOV A,22H

ANL A,#0F0H

SWAP A

MOV 2CH,A;转换出分十位,存入2CH

JB 46H,HOUR;如果长按按键(调时快进),则跳过闪烁处理CJNE R1,#2,HOUR;如果R1为2,闪烁分位待调整

JB 48H,HOUR

MOV 2DH,#0AH;使该位为10,查表得到使该位不显示的输出MOV 2CH,#0AH

HOUR:

MOV A,23H ;时

ANL A,#0FH

MOV 2BH,A;转换出时个位,存入2BH

MOV A,23H

ANL A,#0F0H

SWAP A

MOV 2AH,A;转换出时十位,存入2AH

JB 46H,DISP;如果长按按键(调时快进),则跳过闪烁处理CJNE R1,#1,DISP;如果R1为1,闪烁时位待调整

JB 48H,DISP

MOV 2BH,#0AH;使该位为10,查表得到使该位不显示的输出MOV 2AH,#0AH

/*****数码管动态扫描显示*****/ DISP:

MOV DPTR,#TABLE

MOV A,2FH

MOVC A,@A+DPTR

MOV P0,A

CLR P2.7

LCALL DELAY

SETB P2.7;显示秒个位

MOV A,2EH

MOVC A,@A+DPTR

MOV P0,A

CLR P2.6

LCALL DELAY

SETB P2.6;显示秒十位

MOV A,#0BFH

MOV P0,A

CLR P2.5

LCALL DELAY

SETB P2.5;显示“-”

MOV A,2DH

MOVC A,@A+DPTR

MOV P0,A

CLR P2.4

LCALL DELAY

SETB P2.4;显示分个位

MOV A,2CH

MOVC A,@A+DPTR

MOV P0,A

CLR P2.3

LCALL DELAY

SETB P2.3;显示分十位

MOV A,#0BFH

MOV P0,A

CLR P2.2

LCALL DELAY

SETB P2.2;显示“-”

MOV A,2BH

MOVC A,@A+DPTR

MOV P0,A

CLR P2.1

LCALL DELAY

SETB P2.1;显示时个位

MOV DPTR,#TABLE1;该位使用TABLE1以消除前置0 MOV A,2AH

MOVC A,@A+DPTR

MOV P0,A

CLR P2.0

LCALL DELAY

SETB P2.0;显示时十位

RET

/*****按键检测子程序*****/

KEY_SCAN:

CLR 46H;关闭长按调整(调时快进)标志

MOV P1,#0FFH ;将P1口设置成输入状态

MOV A,P1

CPL A

ANL A,#0FH ;P1口低4位连接4个按键,只判断该4位JZ EXIT_KEY ;无键按下则返回

LCALL DELAY;延时去抖动

MOV A,P1;重新判断

CPL A

ANL A,#0FH

JZ EXIT_KEY ;键盘去抖动

MOV R5,A ;临时将键值存入R5

MOV R4,#00H;用于控制调时快进速度

;设置为00H是为了在进入长按处理前加长延时区分用户的长按与短按,防止误快进

LOOP:;进入长按处理

LCALL DISPLAY;使长按时显示正常

MOV A,P1

CPL A

ANL A,#0FH

JB 47H,LOOP1

INC R4;调时快进间隔时间基准加1

LOOP1:

CJNE R1,#03H,LOOP2;如果调秒时长按,则不处理

LJMP LOOP3

LOOP2:

CJNE R4,#99H,LOOP3

MOV R4,#70H;确认用户长按后,重新设定起始值,加快调时快进速度

SETB 46H;长按调整(调时快进)标志

LCALL ADD_KEY

LCALL DEC_KEY

LOOP3:

JNZ LOOP ;等待键释放

MOV A,R5;输出键值

RET

EXIT_KEY:

RET

/*****延时子程序*****/

DELAY:

MOV R7,#150

DJNZ R7,$

RET

SET_KEY:

CJNE R5,#01H,EXIT;选择键键值

INC R1;调整选择功能标志加一

SETB BEEP

CJNE R1,#4,EXIT

MOV R1,#0

MOV 24H,#00H;调时闪烁基准清零

RET

/*****增加键处理子程序*****/

ADD_KEY:

CJNE R5,#02H,EXIT;增加键键值

CJNE R1,#01H,NEXT1;选择键功能标志为1,调时,否则跳出MOV A,23H

ADD A,#01H

DA A

MOV 23H,A

CJNE A,#24H,EXIT

MOV 23H,#00H

NEXT1:

CJNE R1,#02H,NEXT2;选择键功能标志为2,调分,否则跳出MOV A,22H

ADD A,#01H

DA A

MOV 22H,A

CJNE A,#60H,EXIT

MOV 22H,#00H

NEXT2:

CJNE R1,#03H,EXIT;选择键功能标志为3,调秒,否则跳出MOV 21H,#00H;如增加键按下直接清零秒

RET

DEC_KEY:

CJNE R5,#04H,EXIT;减少键键值

CJNE R1,#01H,NEXT3;选择键功能标志为1,调时,否则跳出MOV A,23H

ADD A,#99H

DA A

MOV 23H,A

CJNE A,#99H,EXIT

MOV 23H,#23H

NEXT3:

CJNE R1,#02H,NEXT4;选择键功能标志为2,调分,否则跳出MOV A,22H

ADD A,#99H

DA A

MOV 22H,A

CJNE A,#99H,EXIT

MOV 22H,#59H

NEXT4:

CJNE R1,#03H,EXIT;选择键功能标志为3,调秒,否则跳出MOV 21H,#00H;如较少键按下直接清零秒

RET

/*****强制响铃键处理子程序*****/

DALING_KEY:

CJNE R5,#08H,EXIT;选择键键值

CPL BEEP

RET

/*****万用返回程序*****/

EXIT:

RET

单片机自动打铃系统设计

自动打铃系统 ----学校上下课自动打铃设计 设计人: 要求:(1)实现上下课的打铃,并通过语音提示上下课;(2)按下开机键,显示当前年月日时间,在LCD液晶屏显示年,月,日,星期,时,分,秒,年-月-日-星期显示在第一行,格式xx-xx-xx-星期x;时分秒显示在第二行,格式xx-xx-xx(24小时格式); (3)能够设置当前时间; (4)使用语音芯片提示上下课,上课时提示:“亲爱的同学们,

上课了”,重复2遍,下课时提示:“亲爱的同学们,下课了“,重复2遍。 (5)允许使用时钟芯片。 《摘要》 单片机的外接石英晶体振荡器能提供稳定、准确的基准频率,并经12分频后向部定时器提供实时基准频率信号,设定定时器工作在中断方式下,连续对此频率信号进行分频计数,便可得秒信号,再对秒信号进行计数便可得到分、时等实时时钟信息。如果石英晶体振荡器的频率信号为6MHZ,设定定时器定时工作方式1下,定时器为3CBOH,则定时器每100ms产生1次中断,在定时器的中断定时处理程序中,每10次中断,则向秒计数器加1,秒计数器计数到60则向分计数器进位(并建立分进位标志),分计数器计数自动打铃系统,是以一片8位单片机为核心的实时时钟及控制系统。我们知道到60,则向时计数器进位,如此周而复始的连续计数,便可获得时、分、秒的信号,建立一个实时时钟。接下来便可以进行定时处理和打铃输出,当主程序检测到有分进位标志时,便开始比较当前时间(小时与分、存放在RAM中)与信息时间表上的作息时间(小时与分,存放在ROM)是否相同,如有相同者,则进行报时处理并控制打铃,如有不相同则返回主程序,如此便实现了报时控制的要求。

单片机课程设计报告实验报告

课程设计报告 学号: 1328403028 姓名:张帅华 班级: 13电子信息工程指导老师:邓晶 苏州大学电子信息学院 2016年4月

摘要 随着时代的进步和发展,单片机技术已经成为一种比较成熟的技术,普及到我们生活、工作、科研等各个领域。本次课程设计包含四个基于STC89C52单片机的设计,分别是:基于单总线数字式温度传感器DS18b20的数字温度计的设计;基于2K位串行CMOS 的EEPROM AT24C02的数字密码锁的设计;基于SPI接口实时时钟芯片DS1302的电子日历的设计以及基于无线收发芯片nrf24L01的简单无线通讯系统的设计。 关键词:单片机 DS18B20 AT24C02 DS1302 NRF24L01

目录 摘要 (1) 目录 (2) 第1章基于DS18B20的数字温度计设计 (3) 1.1 设计要求 (3) 1.2 系统组成 (3) 1.3 系统设计 (3) 1.3.1 硬件设计 (3) 1.3.2软件设计 (4) 1.4 设计结果 (6) 第2章基于AT24C02的电子密码锁设计 (7) 2.1 设计要求 (7) 2.2 系统组成 (7) 2.3 系统设计 (8) 2.3.1 硬件设计 (8) 2.3.2 软件设计 (9) 2.4 设计结果 (9) 第3章基于DS1302的电子日历的设计 (11) 3.1 系统功能 (11) 3.2 系统组成 (11) 3.3 系统设计 (11) 3.3.1 硬件设计 (11) 3.3.2 软件设计 (13) 3.4 设计结果 (14) 第4章基于NRF24L01的无线通信系统的设计 (15) 4.1 系统功能 (15) 4.2 系统组成 (15) 4.3 系统设计 (15) 4.3.1 硬件设计 (15) 4.3.2 软件设计 (16) 4.4 设计结果 (16) 总结 (17)

单片机课程设计之自动打铃系统

单片机课程设计之自动打铃系统 这是我们本学期的单片机课程设计题目,程序就是在昨天的数字钟的基础上增加了一些内容,不想继续做了,还有一门考试要复习。 设计一台自动打铃系统 一、设计任务 用单片机器件为主体,设计一台自动打铃系统。 (1)按照设计标准,画出系统框图和系统硬件电路图。 (2)完成该课题的程序设计,提交程序设计框图及程序设计清单。 (3)提交课程设计报告 二、设计要求 (一)基本要求 (1)基本计时和显示功能(用12小时制显示)。包括上下午标志,时、分的数字显示,秒信号指示。 (2)能设置当前时间(含上、下午,时,分) (3)能实现基本打铃功能,规定: 上午6:00起床铃:打铃5秒、停2秒、再打铃5秒。 下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。 铃声可用小喇叭播放,凡是用到铃声功能的均按此处理 (二)发挥部分 (1)增加整点报时功能,整点时响铃5秒,要求有控制启动和关闭功能。 (2)增加调整起床铃、熄灯铃时间的功能。 (3)增设上午4节课的上下课打铃功能,规定如下: 7.30 上课,8.20下课:8.30上课,9.20下课;9.40 上课,10.30下课;10.40上课,11.30下课;每次铃声5秒。 (4)特色和创新自选。 三、设计步骤 (1)设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD或LED的显示屏; (2)进行软件设计,利用单片机系统时钟先设计一个高精度的内部时钟系统,最小精确时间为期1秒; (3)在秒计数器的基础上设计一个24小时时钟,并设计若干定时功能; (4)设计打铃执行机构,完成自动打铃功能。 四、课程设计说明书要求

单片机课程设计51实验报告DOC

福建工程学院软件学院 题目:51开发洗衣机 班级:物联网工程1202 成员: 座号:04 28 指导老师: 日期:年月日课设报告

目录 1摘要 (1) 2.设计需求 (1) 2.1功能需求 (1) 2.1.1 基本功能 (1) 2.1.2扩展功能 (1) 2.2 设计要求 (2) 2.2.1 单片机芯片部件功能 (2) 2.2.2 LCD数码显示管部件功能 (2) 2.2.3 按键部件功能 (2) 2.2.4 蜂鸣器部件功能 (2) 3硬件设计及描述 (2) 3.1总体描述 (2) 3.2系统总体框图 (3) 3.3Proteus电路图 (3) 3.4各部分硬件介绍 (4) 3.4.1晶振Protues仿真 (4) 3.4.2LCDProtues仿真 (5) 3.4.3 按键Protues仿真 (5) 3.4.4上拉电阻Protues仿真 (6) 3.4.5C51芯片Protues仿真 (6) 3.4.6上电复位电路Protues仿真 (8) 3.4.7蜂鸣器Protues仿真 (9) 4 软件设计流程及描述 (10) 4.1程序流程图 (10) 4.2函数模块及功能 (10) 5功能实现 (11) 5.1程序烧入上电调试 (11) 5.2时间递增跳变 (12) 5.3比分更变 (13) 5.4比赛得分复位 (14) 5.5比赛时间复位 (14) 6 心得体会 (15) 7源程序代码: (16)

1摘要 是为了方便足球比赛时计时与计分及时与准确公开而引申出的实用产品。在此设计中接入了一个1602液晶显示屏,第一行用来记录赛程的时间,第二行用于显示比赛的得分情况。赛程计时用倒计时来计时。在比赛结束时按下相应按键蜂鸣器会响起,提醒比赛时间结束。 这次试验运用C语言进行编程,编程后利用Keil uVision来进行编译,再生成.hex文件装入芯片中,采用Proteus软件来仿真,检验功能是否能够正常实现,最后利用单片机MCS-51实机来实现功能。 本设计以AT89S51单片机作为核心,综合应用单片机定时器、中断、LCD1602 液晶显示等知识,设计一款单片机和简单外设控制的足球计分器应用,同时显示当前的比赛进行时间,比赛队伍,比分状况。 2.设计需求 2.1功能需求 2.1.1 基本功能 (1)屏上显示比赛已运行时间 (2)屏上显示A队和B队的得分 (3)屏上显示上下半场(H-L) (4)通过按键控制比分的增减 2.1.2扩展功能 (1)按键实现比赛场次的更换 (2)按键实现比赛计时的复位 (3)按键实现比赛比分的复位 (4)在比赛结束时,蜂鸣器在主裁判的控制下响起

东北石油大学单片机课程设计自动打铃控制器解析

第1章绪论 本设计是根据我们所学习的单片机课程,按照大纲要求对我们进行的一次课程检验,是进行单片机课程训练的必要任务,也对我们掌握单片机应用有很大的帮助。掌握单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子系统中最重要的智能化的核心部件。 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未有的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。而本文是用AT89C51单片机设计的一个自动打铃系统。

第2章总体设计思想 2.1 基本原理 利用单片机的基本原理和功能,控制自动打铃控制器,掌握单片机的最小电路和单片机最常见的外围扩展电路,利用C语言编程并结合单片机开发板上的功能设计实现一个综合程序“单片机多功能打铃器控制器”,完成常见外围组件的驱动。 2.2 设计框图 图2.1 硬件电路设计 设定51单片机工作在定时器工作方式1,每100ms产生一次中断,利用软件将基准100ms单元进行累加,当定时器产生10次中断就产生1S信号,这时秒单元加1。同理,对分单元时单元和上下午单元计数,从而产生秒,分,时,上下午的值,通过五位七段显示器进行显示。 本系统采用四个按键,1键为功能键,另外三个做控制键。按一下1键进入时间设置,接着按2键选择需要调整的位,按3键进行加数,按4键进行减数,按两下1键调整结束时钟继续走动。当时钟时间与设置时间一致时,驱动电路动作进行打铃,按时间点不同打铃规则不同,此时按2键强制灭铃。

基于51单片机的自动打铃系统

机电信息工程学院 单片机系统课程设计报告 系:电子信息工程系 专业:电子信息工程 班级:072班 设计题目:自动打铃系统设计 学生姓名:张锡斌仇龙佳 指导教师:刘忠富于为民 完成日期:2010年5月31日

目录 一、设计任务和性能指标 (2) 1.1设计任务 (2) 1.2性能指标 (2) 二、设计方案 (2) 三、系统硬件设置 (3) 3.1、单片机最小系统 (3) 3.2时钟电路DS1302 (4) 3.3、显示电路的设计 (5) 3.4、键盘接口的设计 (5) 3.5打铃电路的设计 (6) 四、系统软件设计 (7) 4.1程序流程图 (7) 4.2主程序设计 (10) 4.3显示子程序的设计 (11) 五、调试及性能分析 (12) 5.1调试步骤 (12) 5.2性能分析 (12) 六、心得体会 (12) 参考文献 (13) 附录1 系统硬件电路图 (14) 附录2 程序清单 (15)

一、设计任务和性能指标 1.1设计任务 用单片机器件为主体,设计一台自动打铃系统。 (一)基本要求 1、基本计时和显示功能(用12小时制显示)。包括上下午标志,时、分的数 字显示,秒信号指示。 2、能设置当前时间(含上、下午,时,分)。 3、能实现基本打铃功能,规定:上午6:00起床铃:打铃5秒、停2秒、 再打铃5秒。下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。铃声可用小喇叭播放,凡是用到铃声功能的均按此处理。 (二)发挥部分 1、增加整点报时功能,整点时响铃5秒,要求有控制启动和关闭功能。 2、增加调整起床铃、熄灯铃时间的功能。 3、增设上午4节课的上下课打铃功能,规定如下:7.30 上课,8.20下 课:8.30上课,9.20下课;9.40 上课,10.30下课;10.40上课,11.30下课;每次铃声5秒。 4、特色和创新自选。 1.2性能指标 1.时钟:上下午(1位)、时(2位) 、分(2位) 2.校对键:确认键/设置键、右移键/灭铃键、加键、减键 3.响铃:蜂鸣器二.设计方案 二、设计方案 按照系统设计的功能的要求,初步确定设计系统由主控模块、时钟模块、显示模块、键扫描接口电路共四个模块组成,电路系统构成框图如图1.1所示通过内部定时产生中断,从而驱动电铃打铃。电路系统构成框图如图1.1所示。主控芯片使用51系列AT89C52单片机,采用高性能的静态80C51设计,由先进工艺制造,并带有非易失性Flash程序存储器。它是一种高性能、低功耗的8位COMS 微处理芯片,市场应用最多。 时钟芯片使用美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟芯片DS1302。采用DS1302作为主要计时芯片、可以做到计时准确。更重要的是,DS1302可以在很小的电流的后备电源(2.5~5.5V电源,在2.5V时耗电小于300nA)下继续计时,并可编程选择多种充电电流对后备电源进行慢速充电,可以保证后备电源基本不耗电。采用串行数据传输,与单片机硬件连接简单,如果使用时钟芯片DS12887,将采用并行数据传输,占用更多的硬件资源。因此为节省单片机端口,时钟芯片采用DS1302。

根据FPGA的自动打铃系统的设计与实现

自动打铃系统设计说明书 学生姓名:罗衡 学号:14092500060 专业班级:电子09-2BF 报告提交日期:2011-11-28 湖南理工学院物电学院

目录 一、题目及要求简介 (1) 1.设计题目 (1) 2.总体要求简介 (1) 二、设计方案说明 (1) 三、各部分功能介绍及程序 (2) 1.系统框图 (2) 2.选择的FPGA芯片及配置 (2) 3.各模块(元件)说明 (2) 四、仿真结果 (4) 1.计时进位 (4) 2.手动校时 (5) 3.六点整闹铃 (5) 五、说明 (5) 1.输入激励信号说明 (5) 2.输出结果说明 (6) 六、源程序 (6) 1.顶层模块 (6) 2.模式控制子模块 (7) 3.计时及调整子模块 (8) 4.闹铃及调整子模块 (10) 5.显示子模块 (11) 七、参考文献 (14)

一、设计题目及要求简介 1.设计题目 基于FPGA 的自动打铃系统的设计与实现 2.总体要求简介 (1)基本计时和显示功能 ① 24小时制显示 ② 动态扫描显示 ③ 显示格式:88-88-88 (2)能设置当前时间(含时、分) (3)能实现基本打铃功能,上午06:00起床铃,打铃5秒 二、设计方案说明 本系统采用自顶向下的模块化设计方法,将数字闹钟按照功能实现分为模式控制模块、计时及调整模块、闹铃及调整模块、显示模块。系统调整部分软件控制流程示意图如图2-1所示。 图2-1 ↓ ↓ ↓ ↓ ↓ ↓ ↓ ↓ 开始 mode 计时功能 turn change 闹铃功能 调整小时 调整分钟 返回计时 LD_hour 亮 LD_min 亮 校时功能 调整小时 调整分钟 返回计时 LD_alert 亮 → → → ? ? → 切换 切换 ← 0 1 2

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

毕业设计--单片机自动打铃系统论文

摘要 在现如今快节奏的生活中,人们对于时间的要求越来越苛刻,很多时候都需要对时间进行规划,然后到时间点就要有时间提醒,这就必须用到时钟提醒装置,亦可称为打铃装置。打铃装置有很多种,比如手机的打铃系统,闹钟的机械打铃装置,广播打铃系统等等,但是日常生活中见得最多的还是校园的自动打铃系统。在学校生活中,每天上下课都离不开打铃系统的使用。打铃器可以为上下课的学生和老师们提供时间提醒,有利于师生对上课和学习的合理安排,同时,也可作为一个提醒学生们作息时间的时间表,让老师和学生都能有一个规律和科学的时间安排。因此,打铃系统的核心部分也是时钟部分,为系统提供时间基准。 本设计主要是针对适用于校园打铃系统要求的,其介绍了一种基于单片机的自动打铃系统的设计方法,系统以AT89S51单片机为控制器,以DS1307时钟芯片为系统提供时间,并在液晶显示器上显示,通过按键可以设定定时打铃时间和打铃的时间间隔。系统软件设计采用C语言来完成,C语言语法简洁,使用方便,用于完成软件设计非常方便。本文提出的设计方法电路简单、成本低廉、实用性强。 关键字:打铃器、AT89S51单片机、DS1307、液晶显示器

Abstract Now fast-paced life, the time more and more demanding, often need time to plan and then to the point in time there should be reminded, which must be used to clock reminder can also be calledrang the bell device. Rang the bell device are many, such as the phone rang the bell system, mechanical bell device of the alarm clock, radio bell systems, etc., but in daily life appear or campus automatic bell system. In school life, the last class of the day are inseparable from the bell system. The bell can provide time for the last class of students and teachers to remind conducive to a reasonable arrangement of the teachers and students to school and learning, but also as a reminder of the schedule of the students schedule, so that teachers and students cana law and scientific timing. Therefore, the core part is the clock part of the bell system, the system provides a time reference. This design is mainly for the applicable requirements of the campus bell system, introduced a microcontroller-based automatic bell system design method, the system controller is AT89S51 SCM , the DS1307 clock chip provide the system with time, and the LCD displayed on the monitor button can set the time interval of the timer rang the bell time and rang the bell. System software design using C language, C language syntax is simple, easy to use, very convenient to be used to complete the software design. This paper presents the design circuit is simple, low cost, and practical. Key words: Rang the bell AT89S51 SCM the DS1307 LCD monitors

自动打铃控制器

课程设计 课程单片机原理及应用课程设计 题目自动打铃控制器 院系电子科学学院 专业班级 学生姓名 学生学号 指导教师 2011年3月 18 日 目录

1引言 (2) 2设计要求 (3) 2.1总体设计思想 (3) 3.硬件电路设计思想 (3) 3.1PCB图 (5) 4.程序流程图 (5) 5.程序清单 (6) 6元器件明细表 (26) 7.调试过程 (27) 8.参考文献 (27)

1引言 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 单片机内部也用和电脑功能类似的模块,比如CPU,内存,并行总线,还有和硬盘作用相同的存储器件,不同的是它的这些部件性能都相对我们的家用电脑弱很多,不过价钱也是低的,一般不超过10元即可用它来做一些控制电器一类不是很复杂的工作足矣了。我们现在用的全自动滚筒洗衣机、排烟罩、VCD等等的家电里面都可以看到它的身影!它主要是作为控制部分的核心部件。 可以说,二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。不过,这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦称微控制器)。顾名思义,这种计算机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人类头脑的作用,它出了毛病,整个装置就瘫痪了。现在,这种单片机的使用领域已十分广泛,如产品未使用单片机或其它可编程逻辑器件上智能控制、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词——“智能型”,如智能型洗衣机等。今天我利用单片机控制学校的打铃系统,下面是我的设计思路

51单片机课程设计

课程设计说明书
课程设计名称






学生姓名
指导教师
单片机原理及应用课程设计 电子信息工程 140405 20141329 李延琦 胡黄水
2016 年 12 月 26 日

课程设计任务书
课程设计 题目
酒精测试仪
起止日期
2016 年 12 月 26 日— 2017 年 1 月 6 日
设计地点
计算机科学与工程学 院单片机实验室 3409
设计任务及日程安排: 设计任务:分两部分: (一)、设计实现类:进行软、硬件设计,并上机编程、联线、调试、 实现; 1.电子钟的设计 2.交通灯的设计 3.温度计的设计 4.点阵显示 5.电机调速 6.电子音乐发声(自己选曲) 7.键盘液晶显示系统 (二)、应用系统设计类:不须上机,查资料完成软、硬件设计画图。 查资料选定题目。 说明:第 1--7 题任选其二即可。(二)里题目自拟。 日程安排: 本次设计共二周时间,日程安排如下: 第 1 天:查阅资料,确定题目。 第 2--4 天:进实验室做实验,连接硬件并编写程序作相关的模块实验。 第 5--7 天:编写程序,并调试通过。观察及总结硬件实验现象和结果。 第 8--9 天:整理资料,撰写课程设计报告,准备答辩。 第 10 天:上交课程设计报告,答辩。 设计报告要求:
1. 设计报告里有两个内容,自选题目内容+附录(实验内容),每 位同学独立完成。 2. 自选题目不须上机实现,要求能正确完成硬件电路和软件程序 设计。内容包括: 1) 设计题目、任务与要求 2)硬件框图与电路图 3) 软件及流程图 (a)主要模块流程图 (b)源程序清单与注释 4) 总结 5) 参考资料 6)附录 实验上机调试内容
注:此任务书由指导教师在课程设计前填写,发给学生做为本门课程设计 的依据。

自动打铃系统

淮南职业技术学院 毕业设计 题目:学院自动打铃系统设计系别:煤矿机电系 专业:矿山机电二班 姓名:王灿 学号: 1003044 指导教师:刘立群

摘要 学校以及一些企事业单位通常使用电铃声作为上下课、上下班等作息时间信号。电铃已是学校以及一些企事业单位不可缺少的设备,随着社会的发展不但对其需求量越来越大,对电铃的自动控制要求也越来越高,于是人们设计了通过不同控制方式来实现的自动打铃系统。 本文介绍一种采用三菱PLC控制的作息时间自动打铃控制系统,详细地阐述了系统的组成、系统硬件接线和系统软件设计,并详细介绍了系统工作原理。该系统具有外设电路配置简单、扩展方便、操作容易,可靠性高实用性强等特点。该系统用于学校电铃的自动控制,具有周末和假期控制功能和星期与时间的显示功能,实现了作息时间无人控制的自动化、科学化管理与操作。 关键词:作息时间控制系统,PLC,I/O接线,软件设计

概述 PLC即可编程控制器(Programmable logic Controller),是指以计算机技术为基础的新型工业控制装置。 PLC是一种专门为在工业环境下应用而设计的数字运算操作的电子装置。它采用可以编制程序的存储器,用来在其内部存储执行逻辑运算、顺序运算、计时、计数和算术运算等操作的指令,并能通过数字式或模拟式的输入和输出,控制各种类型的机械或生产过程。PLC及其有关的外围设备都应该按易于与工业控制系统形成一个整体,易于扩展其功能的原则而设计。 20世纪70年代中末期,可编程控制器进入实用化发展阶段,计算机技术已全面引入可编程控制器中,使其功能发生了飞跃。更高的运算速度、 超小型体积、更可靠的工业抗干扰设计、模拟量运算、PID功能及极高的性价比奠定了它在现代工业中的地位。20世纪80年代初,可编程控制器在先进工业国家中已获得广泛应用。这个时期可编程控制器发展的特点是大规模、高速度、高性能、产品系列化。这个阶段的另一个特点是世界上生产 可编程控制器的国家日益增多,产量日益上升,这标志着可编程控制器已步入成熟阶段。 我国可编程控制器的引进、应用、研制、生产是伴随着改革开放开始的。最初是在引进设备中大量使用了可编程控制器。接下来在各种企业的生产设备及产品中不断扩大了PLC的应用。目前,我国自己已可以生产中小型可编程控制器。可以预期,随着我国现代化进程的深入,PLC在我国将有更广阔的应用天地。 所以PLC才被越来越多的人所熟悉,也被广泛的应用于自动化的生产与生活当中。而本课题就来源于学校的实际情况,包括上下课打铃程序、双休日控制程序以及控制学生宿舍开(熄)灯等自动化程序的控制,相信PLC将会更加贴近生活,服务大众。

基于单片机的校园打铃系统设计方案

基于单片机的校园打铃系统设计方案 第1章绪论 校园打铃系统就是利用现代计算机、通讯等技术,以传统的铃声系统为基础,根据用户对铃声系统功能的要求,由单片机来控制、管理、播放的系统。 通过把播放的容以数字形式存放在存储器中,然后单片机通过控制软件,按照学校设定的播放时间和容控制单片机自动将存储器中的数字音乐文件播放出来。铃声控制系统整体由两部分组成:主控中心和终端电铃。主控中心以单片机为核心,包括控制电路、显示电路、键盘电路和存储电路。终端电铃为响应控制设备,通过其自身的控制系统可以获得清晰、响亮的声响。 单片机在电子产品中的应用已经越来越广泛,并且在很多电子产品中也将其用到校园铃声和广播控制。单片机又称单片微控制器,是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词—“智能型”,如智能型洗衣机等。 本次设计是实现一个单片机的校园打铃系统,能过设置打铃时间,同时要求能够在系统掉电时,时间能够继续,数据能够保持,能够实现打铃。

第2章方案设计与论证 2.1 系统方案选择与比较 2.1.1 控制模块方案选择 校园打铃系统设计方案有多种,下面提出两种电路方案。 方案一:主要是由石英晶体振荡电路和分频器电路组成的脉冲发生器、校时电路、报时电路以及时、分、秒计数器和译码显示电路等电路组成,其中采用计数器74LS290、译码器74LS49、分频器和八段数码管显示器等器件组成的校园打铃系统,整个系统有控制简单,调试容易等优点,但是其显示功能单一、电路复杂。其组成方框图如下2-1所示: 图2-1 方案一组成方框图 方案二:采用ATC公司的单片机ATC89C51作为控制器。单片机运算能力强,软件编程灵活,自由度大。它是MCS-51系列单片机的派生产品,在指令系统、硬件结构和片资源上与标准8051单片机完全兼容,使用时容易掌握;采用ATC89S52单片机稳定可靠、应用广泛、通用性强。

89C51单片机课程设计之秒表设计实验报告

单片机课程设计报告 单 片 机 秒 表 系 统 课 程 设 计 班级: 课程名称:秒表设计 成员: 实训地点:北校机房 实训时间:6月4日至6月15日

目录 1课程设计的目的和任务 1.1 单片机秒表课程设计的概述 1.2课程设计思路及描述 1.3 课程设计任务和要求 2硬件与软件的设计流程 2.1系统硬件方案设计 2.2所需元器件 3 程序编写流程及课程设计效果 3.1源程序及注释 3.2原理图分析 3.3课程设计效果 4 心得体会

1. 课程设计的目的和任务 1.1单片机秒表课程设计的概述 一、课程设计题目 秒表系统设计——用STC89C51设计一个4位LED数码显示“秒表”,显示时间为000.0~9分59.9秒,每10毫秒自动加一,每1000毫秒自动加一秒。 二、增加功能 增加一个“复位”按键(即清零),一个“暂停”和“开始”按键。 三、课程设计的难点 单片机电子秒表需要解决几个主要问题,一是有关单片机定时器的使用;二是如何实现LED的动态扫描显示;三是如何对键盘输入进行编程;四是如何进行安装调试。 四、课程设计内容提要 本课程利用单片机的定时器/计数器定时和记数的原理,结合集成电路芯片8051、LED数码管以及课程箱上的按键来设计计时器。将软、硬件有机地结合起来,使得系统能够正确地进行计时,数码管能够正确地显示时间。其中本课程设计有三个开关按键:其中key1按键按下去时开始计时,即秒表开始键,key2按键按下去时数码管清零,复位为“00.00”. key3按键按下去时数码管暂停。 五、课程设计的意义 1)通过本次课程设计加深对单片机课程的全面认识复习和掌握,对单片机课程的 应用进一步的了解。 2)掌握定时器、外部中断的设置和编程原理。 3)通过此次课程设计能够将单片机软硬件结合起来,对程序进行编辑,校验。 4)该课程通过单片机的定时器/计数器定时和计数原理,设计简单的计时器系统, 拥有正确的计时、暂停、清零,并同时可以用数码管显示,在现实生活中应用广泛,具有现实意义 1.2课程设计思路及描述

基于PLC自动打铃控制系统的设计

基于PLC自动打铃控制系统的设计 在自动控制中,用PLC可以实现校园自动打铃系统的控制设计。文章论述了自动打铃控制系统的主要软件部分的设计,重点介绍了基于S7-200 PLC控制的校园电铃自动控制装置设计。 标签:PLC;控制系统;设计 引言 可编程序控制器(Programmable Controller 英文缩写为PC后又稱PLC)是以微处理器为基础,综合了计算机技术、半导体技术和通信网络技术发展起来的一种通用工业自动控制装置。它面向控制过程、面向用户、适应工业环境、操作方便、可靠性高,成为现代工业控制的三大支柱(PLC、机器人和CAD/CAM)之一。PLC控制技术代表着当前程序控制的先进水平,PLC装置已成为自动化系统的基本装置。可编程序控制器(PLC)以其可靠性高、灵活性强、使用方便的优越性,迅速占领了工业控制领域,成为先进的、发展及应用势头最强的工业控制器。 基于PLC控制的自动打铃控制系统的设计,使用方便,程序容易修改,可靠性高,作息时间改变时,控制程序只需要稍做修改就可以实现新的控制要求。 1 控制要求分析及I/O点统计 上课铃与下课铃要能分开(铃声响的频率不一样),起床,晚自习等时间的铃声为连续打铃,每次打铃的时间为15秒;要具备时间调整功能;星期六星期天不打铃,星期一至星期五按表1所示作息时间表打铃;具有时间显示功能,要有秒、分、时和星期的显示。 因电铃功率不大,可直接由PLC驱动,故选择24V直流工作的电铃,选择的具体型号为:HRE-S90D4(24V DC)。时间调整功能可用按钮来实现,其中分钟个位,分钟十位,时钟个位,时钟十位各采用一个按钮,且均选用同一种型号,此处选择施耐德电气公司的XB2B33B1C型号按钮。 系统开关选择:选用圆形选择开关,具体型号为K22-41K两段短轴,为保护PLC不因误操作而被短路烧毁,增加一熔断器作为短路保护。熔断器型号选择为3NE-3340-8,此种熔断器广泛用于30/400V及以下电压,额定工作电流为0.5-125A作为导线和设备的故障保护。 时间显示可采用七段LED数码管。LED数码管采用共阴极接线方式,即COM端为负时数码管中相应段为亮,其中工作原理如图1所示。 数码管b、c段为高电平时,LED数码管显示数字“1”,其余5段为低电平。

基于单片机自动打铃系统设计

目录 第一部分设计任务 (2) 1、毕业设计的主要任务 (2) 2、单片机总体设计思路 (2) 第二部分设计说明 (3) 1、单片机介绍 (3) 2、设计说明 (3) 3、软件设计 (8) 第三部分设计成果 (12) 1、开机运行图 (12) 2、自动打铃器源程序 (12) 第四部分结束语 (15) 第五部分致谢 (18) 第六部分参考文献 (19)

第一部分设计任务 1、毕业设计的主要任务 设计一个采用4位数码管显示时间秒、分、时,伴有调时校正电路,响铃控制则是通过作息时间表和定时器来实现自动打铃的单片机控制系统。 对于不同的季节,作息时间可能不同,可以制定多个作息时间表采用开关切换达到目的。 本设计采用了1个开关实现夏季和冬季作息时间的切换,完成一个自动循环。 2、单片机总体设计思路 (1)设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD或LED的显示屏。 (2)进行软件设计,利用单片机系统时钟先设计一个高精度的内部时钟系统,最小精确时间为期1秒。 (3)在秒计数器的基础上设计一个24小时时钟,并设计若干定时功能。 (4)设计打铃执行机构,完成自动打铃功能。

第二部分设计说明 1、单片机介绍 本系统主要由主控模块,时钟模块,显示模块,键盘接口模块等4部分构成。通过内部定时产生中断,从而使驱动电铃打铃。设定51单片机工作在定时器工作方式1,每100ms产生一次中断,利用软件将基准100ms单元进行累加,当定时器产生10次中断就产生1S信号,这是秒单元加1。同理,对分单有采用动态扫描LED的显示。本系统采用四个按键,当时钟时间和设置时间一直时元和时单元计数从而产生秒、分、时的值,通过六位七段显示器进行显示。由于动态显示法需要数据所存等硬件,接口作,进行打铃,每次打铃30s较复杂,考虑显示只有六位,且系统没有其他浮躁的处理程序。 2、设计说明 2.1 AT89C51简介 一种带4K字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS8位微处理器,俗称单片机。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 2.1.2引脚说明 VCC:供电电压。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH 编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,

单片机课设实验报告

电气工程学院 单片机课程设计 实验报告 班级:电142 姓名: 学号:1412021061 设计题目:实时时钟系统设计 设计时间:2017.01.09~01.13 评定成绩: 评定教师:

摘要 人类为了观测时间,从远古的观太阳、革命时期的摆钟到现在电子钟,不断的在研究、创新纪录;随着科技、社会的快速发展,时间的流逝。美国DALLA S公司推出的具有涓细电流充电能的低功耗实时时钟芯片DS1302。电子万年历诞生了,它可以对年、月、日、周日、时、分、秒进行计时,还具有闰年补偿等多种功能,而且DS1302的使用寿命长,误差小。对于数字电子万年历采用直观的数字显示,可以同时显示年、月、日、周日、时、分、秒和温度等信息,还具有时间校准等功能。该电路采用AT89C51单片机作为核心,功耗小,能在3V 的电子万年历是一种非常广泛日常计时工具,对现代社会越来越流行。低压工作,电压可选用3~5V电压供电。 电子万年历是一种非常广泛日常计时工具,对现代社会越来越流行;万年历具有读取方便、显示直观、功能多样、电路简洁、成本低廉等诸多优点,符合电子仪器仪表的发展趋势,具有广阔的市场前景。 关键词:时钟芯片DS1302,LCD液晶显示,单片机T89C52

目录 摘要 (2) 一、调试过程 (4) 二、运行效果 (6) 三、系统优化 (10) 四、设计总结 (11) 附录 (12)

一、调试过程 1、DS1302实时时钟部分进行调试,程序编完之后,点击编译,对程序进行编译,编译后,发现程序中出现了错误,无法编译通过,查看错误步骤,进行调试,发现是程序的格式错误,调节代码的格式通过编译,编译通过之后,进行软件的仿真实验,看看DS1302是否会生成实时时钟,将编译生成的hex文件导入AT89C51芯片中,之后进行运行,发现DS1302生成了实时时钟,证明这一部分编译成功。 2、LCD的显示部分进行调试,先对产生年月日时分秒之间的连接符进行显示,编译之前先选择自动生成hex文件,让后进行编译,编译完成后调节格式上的错误,直到编译通过后,进行软件上的仿真,仿真时发现,LCD显示上出现了错位现象,让后调节源程序,调节LCD的显示行列位置之后在进行重新编译,在软件上进行仿真,出现了想要的结果;连接符编译成功后,将时钟时间显示在LCD相应的位置上,时钟显示程序,在连接符显示程序基础上进行编译,不停地循环检测60H-66H单元的内容,将这些单元的内容送入到LCD上显示,编译通过之后,发现LCD的时钟显示不正确,通过连调,发现检测60-66单元内容时出错了,重新调节程序,进行编译,成功的出现了时钟的显示。 3、添加开关程序,开关程序添加完成后,编译成功,在软件上进行仿真时发现开关不起作用,按下开关DS1302的时钟继续运行,调节时钟数据,不起作用,通过连调发现,没有对60-66单元的收据进行保护,因此,将开关拨到设置模式时,DS1302时钟并未停止,继续进行计数,所以,将60-66单元送入20-26单元进行保护,当进入设置模式时,将数据进行保护,最后如果没有确认,则将20-26数据送出,如果改变了,则将60-66直接输出,将程序更改完成后,再次仿真达到了预期的效果,可以对时钟进行调节,可以暂停,重新调节时间。 仿真和实际硬件的实验还是有着不小的区别,在软件上进行仿真,所有的端口是自己连接的,因此可以选择不同的端口使用,而在硬件实验中,硬件实验上的一些连线已经自己确定了,必须采用该端口,因此在硬件调试时,需要改变端口的地址以用来符合硬件实验的标准,其次在软件仿真上没有出现问题,在硬件实验时可能有问题,在利用软件仿真时,LCD清屏不清屏,对于实验显示来说没有产生什么影响,然而转入硬件实验室,如果不进行LCD的清屏程序,那么由于

自动打铃系统设计说明书

考试序号:20 自动打铃系统设计说明书 学生姓名: 学号: 专业班级: 报告提交日期: 湖南理工学院物电学院

目录 一系统工作原理 (3) 设计要求 (3) 1、计时原理: (4) 2、模式信号mode选择各个功能显示的原理: (4) 3、时间调整中数字上加的原理: (4) 系统框图 (5) 二选择的FPGA芯片及配置 (5) 三各模块分析 (6) 设计步骤: (6) 顶层文件端口说明: (8) 时序仿三真 (9) 附录:源程序(附注释) (11)

一系统工作原理 工作原理:时钟系统由八个数码管显示组成,其中第1、2个显示的为时的高位和低位,第3个数码管显示的是分隔符“-”,第4、5个为分的高低位,第6个也为隔符“-”,第7、8个为秒的高低位。时钟工作时用到两个频率,一个是系统频率Clk,主要用来扫描数码管和分频,第二个是时钟工作频率Clk_1HZ(由Clk分频得来),按下复位键,秒分时显示清零,工作时Clk(489HZ)不断通过3-8译码器值的改变来扫描时钟的八位数码管,由于人眼分辩不出这么高的频率,所以看到的是八个数码管一直同时亮着,与此同时控制端连着七段数码管的七位不断提供秒时分的高低位译码到数码管上显示,当Clk计数到244次(500ms)时,Clk_1HZ翻转一次,这样Clk_1HZ的周期就为1s整,每个Clk_1HZ的上升沿给秒的低位加1。按调时键S1进入调时模式,按下开关时程序里设置扫描到时的高低位时不显示,同时时的低位加1,松开开关时再显示出来,以此实现闪烁的功能,调分键也是同理。 各个输入输出端口定义如下: Clk: 489HZ 的系统基准时钟输入。作为七段码管扫描频率。将其489分频可得到1HZ的数字钟工作频率。 Rst: 系统复位信号, 低电平有效。复位后显示00-00-00。S1: 调节小时信号, 低电平有效。每按下一次, 小时增加一个小时。 S2: 调节分钟信号, 低电平有效。每按下一次, 分钟增加一个分钟。 Spk: 输出到扬声器, 产生嘀、嗒的报时声。 Sel: 七段码管扫描驱动。因为是八个七段码管,所以Sel 为三位总线。扫描频率为489HZ, 由于人眼的视觉效果, 呈现在眼前的便是整体的时-分-秒显示。 Display: 七段码管显示输出。除此之外另设了几个寄存器: Clk_1HZ:1HZ 的数字钟工作频率 Clk_Count1:产生1Hz 时钟的分频计数器 Music_Count:产生扬声器声音频率的分频计数器 SECL,SECH:秒的低位和高位 MINL,MINH:分的低位和高位 HOURL,HOURH:时的低位和高位 Disp_Temp:显示存储中间量 数字时钟设计(C) 一、设计要求

相关文档
最新文档