电容测试仪 低频课程设计

合集下载

电容测试仪设计低频

电容测试仪设计低频

电容测试仪设计前言电子制作中需要用到各种各样的电容器,它们在电路中分别起着不同的作用。

与电阻器相似,通常简称其为电容,用字母C表示。

顾名思义,电容器就是“存储电荷的容器”。

尽管电容器品种繁多,但它们的基本结果和原理是相同的。

两片相距很近的金属中间被某物质(固体、气体或液体)所隔开,就构成了电容器。

两片金属称为极板,中间的物质叫做戒指。

电容器也分为容量固定的与容量可变的。

但常见的是固定容量的电容,最多见的是电解电容和瓷片电容。

不同的电容器存储电荷的能力也不相同。

规定把电容器外加1伏特直流电压时所储存的电荷量称为该电容器的电容量。

电容的基本单位为法拉(F)。

但实际上,法拉是一个很不成用的单位,因为电容器的容量往往比1法拉小得多,常用微法(uF)、纳法(nF)、皮法(pF)等,它们的关系是:1F=106uF=109nF=1012pF。

电容器在电子线路中得到广泛的应用,它的容量大小对电路的性能有重要的影响,本课设就是对电容器容量的测量。

摘要:LM555是使用几位广泛的一种通用集成电路。

LM555系列功能强大、使用灵活、适用范围宽、可用来生产时间延迟和多种脉冲信号,因此被广泛用于各种电子产品中。

本设计利用LM555构成设计一个多谐振荡器,由于其输出脉宽tw与电容C成正比,把电容C转换成宽度为tw的矩形脉冲,在利用积分器,将电容的容量通过数字电压表的直流档直接显示,从而构成一个简易的电容器容量的测量电路。

关键词:无稳态多谐震荡器、单稳态输出脉冲、积分器目录一、设计目的 (1)二、设计内容要求 (1)三、设计技术指标 (1)四、方案比较 (1)五、方案论证 (2)六、主要电路设计与说明 (2)1、芯片简介 (2)(1)LM555 (2)(2)LM324 (4)2、总电路图 (5)(1)原理图 (5)(2)原理说明 (5)(3)测量使用说明 (6)七、电路搭建与调试 (6)1、软件仿真 (6)2、实际安装电路 (7)3、电路调试 (7)八、实验数据 (7)九、实验总结与心得 (8)十、附录 (8)1、元器件清单 (8)2、参考文献 (9)一、设计目的1、掌握电容测试仪的设计、组装与调试方法。

电容电阻测试仪课程设计

电容电阻测试仪课程设计

电容电阻测试仪课程设计一、课程目标知识目标:1. 学生能够理解电容和电阻的基本概念,掌握电容电阻的计量单位和换算关系。

2. 学生能够描述电容电阻测试仪的工作原理,了解不同类型的测试仪及其适用范围。

3. 学生能够运用所学知识,正确使用电容电阻测试仪进行测量,并能解读测试结果。

技能目标:1. 学生能够熟练操作电容电阻测试仪,进行实际电路中的电容和电阻测量。

2. 学生能够分析测试数据,发现并解决简单电路故障。

3. 学生能够运用科学方法,进行实验设计和数据处理,提高实验操作的准确性和效率。

情感态度价值观目标:1. 培养学生严谨的科学态度,对实验结果进行客观分析和评价。

2. 增强学生的团队协作意识,培养合作解决问题的能力。

3. 培养学生的创新精神,激发对电子测量技术的研究兴趣。

本课程旨在帮助学生掌握电容电阻测试仪的基本知识和操作技能,培养实际应用能力。

针对学生年级特点,课程设计注重实践性和探究性,通过分解课程目标为具体的学习成果,使学生在学习过程中不断提高知识水平、技能和情感态度价值观。

二、教学内容1. 电容与电阻基础知识:- 电容的定义、性质及符号表示;- 电阻的定义、性质及符号表示;- 电容和电阻的计量单位及换算关系。

2. 电容电阻测试仪工作原理:- 测试仪的基本结构及各部分功能;- 测试仪的测量原理及分类;- 常用电容电阻测试仪的适用范围及特点。

3. 电容电阻测试仪操作技能:- 测试仪的正确使用方法及注意事项;- 测量电容和电阻的操作步骤;- 测量数据读取、记录和处理方法。

4. 实际电路测量与故障分析:- 实际电路中的电容和电阻测量;- 故障现象的观察与分析;- 故障排除方法及技巧。

5. 实验设计与数据处理:- 实验方案的设计与优化;- 实验数据的收集、处理与分析;- 实验报告撰写方法。

本教学内容依据课程目标,结合教材章节进行组织,保证教学内容科学性和系统性。

在教学过程中,教师需根据教学大纲安排教学内容和进度,注重理论与实践相结合,提高学生的操作技能和实际问题解决能力。

电容测试仪课程设计

电容测试仪课程设计

电容测试仪课程设计一、课程目标知识目标:1. 学生能理解电容测试仪的基本原理,掌握其操作方法和使用步骤。

2. 学生能运用电容测试仪进行电容器的测量,并准确读取测量结果。

3. 学生了解电容器的容值范围及其在电子电路中的应用。

技能目标:1. 学生能够独立操作电容测试仪,进行实际电容器的测量,并解决简单测量问题。

2. 学生通过实际操作,培养观察、分析、解决问题的能力。

3. 学生能够运用所学的知识,设计简单的电容测试实验,提高实验操作技能。

情感态度价值观目标:1. 学生通过本课程的学习,培养对物理实验的兴趣和热情,提高学习积极性。

2. 学生在团队合作中,学会相互尊重、沟通协作,培养团队精神。

3. 学生了解电容测试仪在电子测量领域的作用,认识到科技对生活的影响,增强社会责任感和创新意识。

课程性质分析:本课程为物理实验课,旨在帮助学生将理论知识与实际操作相结合,提高学生的实践能力和动手能力。

学生特点分析:初三学生已具备一定的物理知识和实验技能,对新鲜事物充满好奇心,但操作熟练度有待提高。

教学要求:1. 教学内容与课本紧密结合,注重实践操作,提高学生的动手能力。

2. 教师应引导学生主动参与实验,培养学生的学习兴趣和探究精神。

3. 教学过程中,注重培养学生的团队合作意识和沟通能力。

二、教学内容1. 电容测试仪基本原理- 电容器的定义及工作原理- 电容测试仪的工作原理与测量方法2. 电容测试仪的操作方法与使用步骤- 电容测试仪的连接与准备- 电容测试仪的操作流程- 电容器的测量及结果读取3. 实际操作:电容器测量- 选择不同容值的电容器进行测量- 学生分组操作,相互协作,完成测量任务4. 电容测试仪在电子电路中的应用- 电容器在电子电路中的作用- 电容器容值对电路性能的影响5. 教学案例分析- 分析实际测量中可能遇到的问题及解决方法- 讨论测量结果与理论值的偏差原因6. 课堂小结与作业布置- 总结本节课的重点知识- 布置与教学内容相关的作业,巩固所学知识教学内容安排与进度:第一课时:电容测试仪基本原理及操作方法学习第二课时:实际操作:电容器测量第三课时:电容测试仪在电子电路中的应用及案例分析教材章节关联:本教学内容与教材中“电容器”章节相关,涵盖了电容器的原理、测量及应用等方面,有助于学生深入理解电容器相关知识。

课程设计电容测试仪设计报告

课程设计电容测试仪设计报告

天津职业技术师范大学自动化与电气工程学院电子技术课程设计报告题目:交通灯专业:测控技术与仪器专业班级:测控0802组员:谷峥王海丽魏莹莹2010年7月9日一、设计任务及主要技术指标和要求设计任务:设计、焊接和调试电容数字测试仪电路主要技术指标和要求测量电容范围为100PF~100UF设计三个以上的测量量程用两位数码管显示测量结果二、电路设计1、方案设计方案一:像测量R一样,测量电容C的最典型的方法是电桥法,如图所示。

只是电容C要用交流电桥测量。

电桥的平衡条件为通过调节阻抗Z1、Z2使电桥平衡,这时电表读数为零。

根据平衡条件以及一些已知的电路参数就可以求出被测参数。

方案二:把电容量通过电路转换成电压量,然后把电压量经模数转换器转换成数字量进行显示。

可由555集成定时器构成单稳态触发器、多谐振荡器等电路,当稳态触发器输出电压的脉宽为:tw=RCln3≈1.1RC。

从式可以看到,当R固定时,改变电容C则输出脉宽tW跟着改变,由tW的宽度就可求出电容的大小。

把单稳态触发器的输出电压V0取平均值,由于电容量的不同,tW的宽度也不同,则V0的平均值也不同,由V0的平均值大小可得到电容C的大小。

如果把平均值送到位A/D 转换器,经显示器显示的数据就是电容量的大小。

方案三:利用单稳态触发器或电容器充放电规律等,可以把被测电容的大小转换成脉冲的宽窄,即控制脉冲宽度T x严格与C x成正比,只要把此脉冲和频率固定不变的方波即时钟脉冲相与,便可得到计数脉冲,把计数脉冲送给计数器计数,然后再送给显示器显示,如果时钟脉冲的频率等参数合适,数字显示器显示的数字N便是C x的大小。

方案分析比较:用方案一得测量方法,参数的值还可以通过联立方程求解,调节电阻值一般只能手动,电桥的平衡判别亦难用简单电路实现。

这样,电桥法不易实现自动测量;对于方案二,因为我们对A/D 转换器的掌握程度还不够充分,所以设计有一些困难;而方案三不仅设计比较容易实现,而且必要时还可以扩展量程,更重要的是该方案设计出来的数字测试仪测量的结果比较精确,所以,我们选用方案三。

课程设计-简易电容测量仪

课程设计-简易电容测量仪

简易电容测试仪一、系统简介本文设计了一套简易电容系统,能够在误差允许的范围内测量普通电容的容值,并在液晶界面上显示相关信息。

二、系统实现原理系统分模拟部分和数字部分。

模拟部分是由集成运放结电阻和所测电容构成的方波发生器,产生的方波频率与所测试的电容具有函数关系。

因此只要知道产生方波的频率,就能反推出电容的容值。

此外,在方波发生器后面我们添加稳压电路和半波整形电路,使得方波的输入幅度在5V 以下且为正值,而不改变方波的频率。

数字部分是FPGA 作为主控的,负责频率的测量以及液晶的显示。

下面介绍测量频率的原理。

FPGA 测量频率有两种算法,就是常说的测频和测周。

测频是在一段闸门时间内对输入信号周期进行计数,而测周则相反,是在输入信号的时段内,对标准信号周期进行计数。

一般的原则是,高频测频,低频测周。

本系统用的是测频的方法。

我们可以用低频时钟的两个上升沿之间的那段时间作为闸门,对上升沿时间内输入信号周期进行计数。

最后通过计算得到频率。

显示部分我们使用1602液晶屏,通过FPGA 状态机驱动显示。

三、系统结构框图四、硬件电路设计 方波发生器 整形电路 FPGA LCD 显示图示电路产生方波的频率符合f=12R1Cln(1+2R3R2)的关系式,其中C为待测电容。

而且经稳压电路和半波整流电路后的幅度为0~3.3V,能直接输入给FPGA,符合要求。

五、程序设计//顶层文件//top.v`include “cepin.v”`include ”1602.v”module top(clkin,datain,rst,clkout,cnt,fre,rem,clk_LCD, LCD_EN,RS,RW,DB8);fre m1(.clkin(clkin),.datain(datain),.clkout(clkout),.cnt(cnt),.fre(fre),.rem(rem)); LCD_Driver m2(.clkin(clkin), .rst(rst),.fre( fre), .clk_LCD(clk_LCD),.LCD_EN (LCD_EN),.RS(RS),.RW(RW),.DB8(DB8));endmodule//测频模块://cepin.vmodule fre(clkin,datain,clkout,cnt,fre,rem);input clkin; //100Minput datain;output reg clkout = 1'b1;output reg [7:0] cnt = 8'b0;output wire [7:0] fre;output wire [7:0] rem;parameter N=100000; //1khzreg [1:0] datatmp = 0; //不初始化计数会仿真错误reg [15:0] clktmp = 0;reg [7:0] cnttmp = 0;//分频always @(posedge clkin)beginif(clktmp == N/2-1)beginclkout <= ~clkout;clktmp <= 16'b0;endelseclktmp <= clktmp+1'b1;end//拼接符号检测上升沿always @(posedge datain)datatmp = {clkout,datatmp[1]}; //捕获沿的方法//对慢时钟上升沿间的输入信号计数,为高频测频always @(posedge datain)beginif(datatmp == 2'b10) //上升沿begincnt <= cnttmp+1'b1; //加1补偿cnttmp <= 8'b0;endelsecnttmp <= cnttmp +1'b1;end//调用除法核计算电容,参数确定,公式确定LPM_DIVIDa m(.denom(…),.numer(…),.quotient(…),.remain(…)); //……endmodule//显示模块//1602.vmodule LCD_Driver(clkin, rst, fre, clk_LCD,LCD_EN,RS,RW,DB8);input clkin,rst,fre; //rst为全局复位信号(高电平有效)output clk_LCD;output LCD_EN,RS,RW;//LCD_EN为LCD模块的使能信号(下降沿触发)//RS=0时为写指令;RS=1时为写数据//RW=0时对LCD模块执行写操作;RW=1时对LCD模块执行读操作output [7:0] DB8; //8位指令或数据总线reg [7:0] DB8;reg [111:0] Data_First_Buf,Data_Second_Buf; //液晶显示的数据缓存reg RS,LCD_EN_Sel;reg [3:0] disp_count; //用来判断是否已经写满一行reg [3:0] state; //状态机格式//状态机编码parameter Clear_Lcd = 4'b0000, //清屏并光标复位Set_Disp_Mode= 4'b0001, //设置显示模式:8位2行5x7点阵Disp_On =4'b0010, //显示器开、光标不显示、光标不允许闪烁Shift_Down =4'b0011, //文字不动,光标自动右移Write_Addr =4'b0100, //写入显示起始地址Write_Data_First= 4'b0101, //写入第一行显示的数据Write_Data_Second= 4'b0110, //写入第二行显示的数据Idel =4'b0111; //空闲状态parameter Data_First = "频率", //液晶显示的第一行的数据//Data_Second = “” ; //液晶显示的第二行的数据assign RW = 1'b0; //RW=0时对LCD模块执行写操作assign LCD_EN = LCD_EN_Sel ? clk_LCD : 1'b0; //通过LCD_EN_Sel信号来控制LCD_EN的开启与关闭//省去分频部分//……always @(posedge clk_LCD or negedge rst)beginif(!rst)beginstate <=Clear_Lcd; //复位:清屏并光标复位RS <=1'b0; //复位:RS=0时为写指令;DB8 <=8'b0; //复位:使DB8总线输出全0LCD_EN_Sel<= 1'b1; //复位:开启夜晶使能信号disp_count<= 4'b0;endelsecase(state) //初始化LCD模块Clear_Lcd:beginstate <=Set_Disp_Mode;DB8 <=8'b00000001; //清屏并光标复位endSet_Disp_Mode:beginstate <=Disp_On;DB8 <=8'b00111000; //设置显示模式:8位2行5x8点阵endDisp_On:beginstate <=Shift_Down;DB8 <=8'b00001100; //显示器开、光标不显示、光标不允许闪烁endShift_Down:beginstate <=Write_Addr;DB8 <=8'b00000110; //文字不动,光标自动右移endWrite_Addr:beginstate <=Write_Data_First;DB8 <=8'b10000001; //写入第一行显示起始地址:第一行第二个位置Data_First_Buf<= Data_First; //将第一行显示的数据赋给Data_First_Buf endWrite_Data_First: //写第一行数据beginif(disp_count== 14) //disp_count等于14时表示第一行数据已写完beginDB8 <=8'b11000001; //送入写第二行的指令RS <=1'b0;disp_count<= 4'b0;//Data_Second_Buf<= Data_Second;Data_Second_Buf<= fre;state <=Write_Data_Second; //写完第一行进入写第二行状态endelsebeginDB8 <=Data_First_Buf[111:104];Data_First_Buf<= (Data_First_Buf << 8);RS <=1'b1; //RS=1表示写数据disp_count<= disp_count + 1'b1;state <=Write_Data_First;endendWrite_Data_Second: //写第二行数据beginif(disp_count == 14)beginLCD_EN_Sel<= 1'b0;RS <=1'b0;disp_count<= 4'b0;state <=Idel; //写完进入空闲状态endelsebeginDB8 <=Data_Second_Buf[111:104];Data_Second_Buf<= (Data_Second_Buf << 8);RS <=1'b1;disp_count<= disp_count + 1'b1;state <=Write_Data_Second;endendIdel:beginstate <=Idel; //在Idel状态循环enddefault: state <= Clear_Lcd;//若state为其他值,则将state置为Clear_Lcd endcaseendendmodule六、测试验证方法在方波发生电路的电容处设计为插孔式,能插上不同容值的电容。

电容测量课程设计报告

电容测量课程设计报告

电容测量课程设计报告一、教学目标本节课的教学目标是让学生掌握电容的测量方法,理解电容的性质和作用,能够运用电容的知识解决实际问题。

具体来说,知识目标包括:了解电容的定义、单位、公式;掌握电容的测量方法,能够正确使用电容器和电容测量仪进行测量。

技能目标包括:能够独立进行电容测量实验,熟练操作电容器和电容测量仪;能够分析实验数据,得出合理的结论。

情感态度价值观目标包括:培养学生对科学的兴趣和好奇心,培养学生的实验操作能力和团队合作精神。

二、教学内容本节课的教学内容主要包括电容的定义、性质和测量方法。

首先,介绍电容的定义和单位,让学生理解电容的概念。

然后,讲解电容的性质,包括电容的公式、电容的充放电过程等,让学生了解电容的特性。

最后,介绍电容的测量方法,包括使用电容器和电容测量仪进行测量,让学生掌握电容的测量技巧。

三、教学方法为了激发学生的学习兴趣和主动性,本节课将采用多种教学方法。

首先,采用讲授法,讲解电容的定义、性质和测量方法,让学生掌握基本知识。

然后,采用实验法,让学生亲自动手进行电容测量实验,培养学生的实验操作能力和观察能力。

最后,采用讨论法,让学生分组讨论实验结果,培养学生的团队合作精神和分析问题的能力。

四、教学资源为了支持教学内容和教学方法的实施,本节课将准备以下教学资源。

首先,教材和相关参考书,用于为学生提供理论知识的学习材料。

其次,多媒体资料,包括图片、视频等,用于为学生提供直观的视觉感受,帮助学生更好地理解电容的概念和性质。

最后,实验设备,包括电容器、电容测量仪等,用于为学生提供实践操作的机会,培养学生的实验能力和观察能力。

五、教学评估本节课的教学评估将采用多元化的评估方式,以全面客观地评价学生的学习成果。

评估方式包括平时表现、作业和考试。

平时表现主要评估学生在课堂上的参与度、提问和回答问题的积极性等。

作业主要评估学生的理解和应用能力,要求学生完成相关的练习题和实验报告。

考试则评估学生的综合运用能力,通过选择题、填空题、计算题和实验操作题等形式,全面检验学生对电容测量知识的理解和掌握程度。

电容测试仪课程设计

电容测试仪课程设计

电容测试仪 课程设计一、课程目标知识目标:1. 学生能理解电容测试仪的基本工作原理和功能用途;2. 学生能掌握电容测试仪的操作步骤和注意事项;3. 学生能了解电容的基本概念,包括单位、性质和分类;4. 学生能运用公式计算电容器的电容量,并理解其物理意义。

技能目标:1. 学生能够正确使用电容测试仪进行电容量的测量;2. 学生能够通过实际操作,分析并解决测量过程中可能出现的问题;3. 学生能够运用所学的知识,对实际电路中的电容器进行检测和评估。

情感态度价值观目标:1. 学生培养对物理实验的兴趣,激发学习热情;2. 学生养成严谨的科学态度,注重实验操作的规范性和准确性;3. 学生通过小组合作,培养团队协作精神和沟通能力;4. 学生认识到物理实验在现实生活中的应用,增强学以致用的意识。

分析课程性质、学生特点和教学要求,本课程旨在通过电容测试仪的实际操作,使学生掌握电容量的测量方法,培养实验操作能力和问题解决能力。

课程目标具体、可衡量,以便学生和教师在教学过程中能够明确课程预期成果,并为后续的教学设计和评估提供依据。

二、教学内容1. 电容基本概念:电容的定义、单位、性质及分类;2. 电容器的工作原理:电容器储存电荷的原理,电容器的构造和功能;3. 电容测试仪的原理与操作:电容测试仪的工作原理,操作步骤及注意事项;4. 电容测量实验:运用电容测试仪进行电容量的测量,分析测量误差及解决方法;5. 电容器的应用:介绍电容器在实际电路中的应用,如滤波、振荡等。

教学内容依据课程目标,结合教材相关章节,进行科学、系统的组织。

具体安排如下:1. 引入电容基本概念,让学生了解电容器的物理本质;2. 讲解电容器的工作原理,为学习电容测试仪的使用奠定基础;3. 详述电容测试仪的原理与操作,指导学生进行实际操作;4. 安排电容测量实验,巩固所学知识,提高实验技能;5. 介绍电容器的应用,使学生了解物理知识在实际生活中的运用。

教学内容紧密联系教材,确保教学大纲的合理性,为课程实施提供明确的方向和依据。

电容测量课程设计

电容测量课程设计

电容测量 课程设计一、课程目标知识目标:1. 学生能够理解电容的基本概念,掌握电容的单位、公式及计算方法。

2. 学生能够了解电容器的结构、种类及其在电路中的应用。

3. 学生能够掌握电容测量原理,学会使用不同方法进行电容测量。

技能目标:1. 学生能够运用所学知识,正确操作实验仪器,完成电容测量实验。

2. 学生能够分析实验数据,处理实验结果,提高实验操作和数据分析能力。

3. 学生能够通过小组合作,培养团队协作能力和沟通能力。

情感态度价值观目标:1. 学生能够对物理学科产生兴趣,提高学习积极性。

2. 学生能够养成严谨的科学态度,注重实验操作的准确性和实验结果的可靠性。

3. 学生能够认识到电容测量在实际应用中的重要性,增强学以致用的意识。

本课程针对高中年级学生,结合物理学科特点,注重理论与实践相结合。

在分析课程性质、学生特点和教学要求的基础上,明确课程目标,并将目标分解为具体的学习成果,以便于后续的教学设计和评估。

通过本课程的学习,学生将能够掌握电容测量的相关知识,提高实验操作和数据分析能力,培养科学态度和团队协作精神。

二、教学内容本章节教学内容主要包括以下三个方面:1. 电容基本概念:- 电容的定义、单位及公式- 电容器结构、种类及其在电路中的应用2. 电容测量原理及方法:- 电容测量原理介绍- 电容测量方法:时间常数法、交流电桥法、电容表法等- 实验仪器的使用及操作规范3. 实验操作与数据分析:- 实验步骤及注意事项- 数据采集、处理与分析- 实验结果讨论与误差分析教学内容依据课程目标,结合教材相关章节进行组织。

教学大纲明确教学内容的安排和进度,具体如下:1. 电容基本概念:第1课时2. 电容测量原理及方法:第2-3课时3. 实验操作与数据分析:第4-5课时三、教学方法本章节将采用以下多样化的教学方法,以激发学生的学习兴趣和主动性:1. 讲授法:通过教师对电容基本概念、电容测量原理及方法的系统讲解,使学生掌握必要的理论知识,为后续实验操作打下基础。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

电容测试仪设计姓名:xxx学号:xxxxxxxxx电容测试仪设计前言电子制作中需要用到各种各样的电容器,它们在电路中分别起着不同的作用。

与电阻器相似,通常简称其为电容,用字母C表示。

顾名思义,电容器就是“存储电荷的容器”。

尽管电容器品种繁多,但它们的基本结果和原理是相同的。

两片相距很近的金属中间被某物质(固体、气体或液体)所隔开,就构成了电容器。

两片金属称为极板,中间的物质叫做戒指。

电容器也分为容量固定的与容量可变的。

但常见的是固定容量的电容,最多见的是电解电容和瓷片电容。

不同的电容器存储电荷的能力也不相同。

规定把电容器外加1伏特直流电压时所储存的电荷量称为该电容器的电容量。

电容的基本单位为法拉(F)。

但实际上,法拉是一个很不成用的单位,因为电容器的容量往往比1法拉小得多,常用微法(μF)、纳法(nF)、皮法(pF)等,它们的关系是:1F=106μF=109nF=1012pF。

电容器在电子线路中得到广泛的应用,它的容量大小对电路的性能有重要的影响,本课设就是对电容器容量的测量。

摘要:LM555是使用几位广泛的一种通用集成电路。

LM555系列功能强大、使用灵活、适用范围宽、可用来生产时间延迟和多种脉冲信号,因此被广泛用于各种电子产品中。

本设计利用LM555构成设计一个多谐振荡器,由于其输出脉宽tw与电容C成正比,把电容C转换成宽度为tw的矩形脉冲,在利用积分器,将电容的容量通过数字电压表的直流档直接显示,从而构成一个简易的电容器容量的测量电路。

关键词:无稳态多谐震荡器、单稳态输出脉冲、积分器二、设计任务本课题要求利用LM555设计一个测量电容器容量的电路,电容的容量值通过数字电压表的直流档直接显示。

三、技术指标1.熟悉电路的工作原理。

2.电容测量范围:1.0nF~10.0μF 。

3.可以分档测量。

4.电源电压在±≤12V 电源范围内选择。

四、设计要求1.根据技术指标通过分析计算确定电路形式和元器件参数。

2.画出电路原理图。

(元器件标准化,电路图规范化) 3.设计的电路先通过计算机仿真。

4.555定时电路通过查阅手册获得器件参数。

五、实验要求1.根据技术指标确定测试项目、测试方法和步骤。

2.确定实验所用仪器。

3.作出记录数据的表格。

4.完成实验。

六、电路工作原理集成定时器555电路是一种数字、模拟混合型的中规模集成电路,输入信号自引脚6和引脚2输入,输出信号至引脚3输出。

引脚4是复位端,当其为0,555输出低电平,平时4端开路或接V cc 。

引脚5是控制电压端,当其外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一滤波电容,以消除外界来的干扰,并确保参考电平的稳定。

当芯片内部三极管导通时,将给接于引脚7的电容提供低阻放电通路。

整个电路分为三个部分: 第一部分:555定时器构成多谐振荡器。

5端口连接10nf 电容,起滤波作用。

将端口6和端口2连在一起,作为输入信号V i 的输入端。

在电路接通电源时,由于电容C 1还未充电,所以V c (即6端口和2端口)为低电平,电路输出(即3端口)为高电平。

555芯片内部的三极管截止,V cc 通过电阻(R 1+R 2)对电容C 1充电,电路进入暂稳态。

在暂稳态期间,随着电容C 1的充电,V c 电位不断升高,当V c ≥V 32cc 时,电路输出(即3端口)反转为低电平,电路发生一次自动翻转。

与此同时,555芯片内部的三极管导通,电容C 1放电,电路进入另一暂稳态。

在这一暂稳态期间,随着电容C 1的放电,使V c 电位逐步下降。

当V c 下降至V c ≤V 31cc 时,使电路输出(即3端口)翻转为高电平,电路又一次自动发生翻转。

此后,重复上述电容C 1的充电过程,如此反复,形成多谐振荡。

其工作波形如图一所示。

图1 自激多谐振荡器工作波形由上述分析,在电容充电时,暂稳态的持续时间为t w1=0.7(R 1+R 2)C 1在电容放电时,暂稳态持续时间为t w2=0.7R 2C 1因此,电路输出矩形脉冲的周期为T= t w1+ t w2=0.7((R 1+2R 2)C 1振荡频率为f = 1.44 / [( R 1 +2 R 2) C 1]第二部分:555定时器构成单稳态触发器。

4端口接高电平V cc 。

以2端口做输入触发端,V i 的下降沿触发,将芯片内部三极管的集电极输出7端通过换量程的电阻接V cc ,构成反相器。

反相器输出端7接待测电容C x 到地,同时7端口和6端口连接在一起。

这样,构成积分型单稳态触发器,其工作波形如图2所示。

V 32cc V 31cc V w2图2 单稳态触发器工作波形开始,输入信号V i =V cc ,所以输出高电平。

电源V cc 通过调量程的电阻对待测电容充电,使6端口电位上升。

当其充电至大于V 32cc 时,输出V o 为低电平。

同时,待测电容C x 放电,最后放电至0,这是稳定状态。

当V i 输入信号下降沿到达时,V i =0,使输出为高电平。

电路受触发发生一次翻转。

与此同时,电路进入暂稳态。

由于C x 的充电,使6端口电位逐渐上升。

当其大于V 32cc 时,电路输出为低电平,又自动发生一次翻转,暂稳态结束。

同时,待测电容很快放电至0,电路恢复到稳定状态。

由上分析可见,暂稳态的持续时间主要取决于外接调量程电阻和待测电容,不难求出输出脉冲的宽度t w 为t w =RClnRC 1.1V 32V V cccc cc=- 所以t w 越宽,输出的平均电流值越大,反之,则小。

第三部分:由LM324AN 中的放大器组成积分器,将单稳态触发器输出的脉冲信号输入到积分器中,输出电压值与脉冲电压的关系为⎰-=t0s 0dt u uRC1V itV cV o通过积分,输出的电压为一稳定值,选择适当的电阻值,可以使待测电容值的大小直接从数字电压表的直流档读出来。

七、电路原理图本电容测试电路原理图如图3所示图3 电容测试仪电路八、仿真测试及仿真数据测1.0nf~10.0nf的电容值,选择470KΩ档;测10.0nf~100.0nf的电容值,选择47 KΩ档;测100.0nf~1000.0nf的电容值,选择4.7 KΩ档;测1.0μf~10.0μf的电容值,选择470 Ω档。

注:实际仿真测试时,由于接上四脚开关使数据不准确,所以并没有连接四脚开关,而是直接接该量程电阻测的,小于4时接的并联的电容同理。

图4:仿真波形九、实际测试及实际数据本电路在测量电容时,由于电路本身设计的缺陷,每档电容值首数字在4以下的测量就不准确了(如量程在10.0nf至100.0nf之间时,10.0nf~40.0nf的电容值就测不准确了),需要并联一较大电容才能准确测量。

所以为了实际中在不知电容值大小的情况下能准确定位其测量档位及电容值,我采取了如下方法:当被测电容接入电路后,先选择最小档测量,如果满偏,则换上一档,直至不满偏,证明是该档电容,若测出来的电压值在6以下或6左右,(因为数值在1左右的电容值有的测出来等于6左右,而其他数值在4以下的电容测量值都不超过6,所以选择该数作为是否并联电容再测量的分界点)则并联一电容,使其数值不超过10并大于6,用测得的数值减去并联的电容的值,就是待测电容的值。

若值不在六以下,则测出来的电容值是多少就读多少。

由于每档都是4以下的值测不准,所以理论上我想选择用电压值显示为4时的电容值来并联测不准的电容,以便准确判断该电阻是否是所测量程之内的电容,好决定是否换更大的档测量它。

我每档选择的并联电容值示之如下:1nf~10nf档位:C4=4nf10nf~100nf档位:C5=40nf100nf~1μf档位:C6=400nf1μf~10μf档位:C7=4μf由于实验室元器件有限,所以电阻R3、R4、R5、R6得到分别选择有四个电阻串联得到,既:R3=200kΩ×2+50kΩ+20kΩ=470kΩ;R4=20kΩ×2+5kΩ+2kΩ=47kΩ;R5=2kΩ×2+500Ω+200Ω=4.7kΩ;R6=200Ω×2+50Ω=450Ω。

同时,部分不能直接提供的电容C1、C4、C5、C6、C7也选择分别由既有电容并联得到,既:C1=103×3=30nf;C4=102×4=4nf;C5=103×4=40nf;C6=104×4=400nf;C7=105×4=4μf。

老师在检查过程中,将330nf电容作为待测电容,进行测量。

将电路准备好后,J1处断开状态,S1接电阻470KΩ,从最小量程测起,此时电压表示数超过10V,即所选量程过小。

为简化实验步骤,直接连接了4.7KΩ电阻,并将J1闭合,S2接并联电容400nf,电压表示数为7.20V,所以,待测电容的测量值为320nf。

数据相对误差为3.03%,在允许误差范围内,说明测试成功。

十、实验总结本次试验,我完成了电容测试仪的设计,实验结果满足精度要求,并且成功测试了未知电容的数值。

实验过程中,由于对电路原理图理解不够透彻,导致面包板上元器件排列不够合理,还使多用了导线,还使操作变得复杂,加上操作生疏,结果实验电路虽符合原理,但不能测出准确值。

经过仔细检查后,我重新连接了整个电路,元器件摆放更加条理清晰,且减少了导线的使用。

连接完成后仔细检查,没有漏连、短路、断路的元器件。

然后接通电源,发现仍不能测出数值。

于是,对照仿真电路,用万用表直流电压档测量各节点电压,发现面包板有断路。

用导线将断路的面包板连接好后,成功测出第一个准确数值。

由理论电路搬到实际电路中来的时候,肯定会产生误差,因为导线会有电阻,电容电阻值也不一定是刚好的那个值,仪器也会有误差,所以,要通过改变一些电阻值来达到理想的实验效果,调试过程中要耐心且细心,切不可浮躁。

通过本次实验,我更好地了解了555芯片和324芯片的工作原理,学会了使用面包板,提高了自己动手能力,将理论知识用于实际电路,获益匪浅。

十一、附录1、元器件清单。

相关文档
最新文档