EDA课程设计

合集下载

eda电子课程设计

eda电子课程设计

eda电子课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握电子电路设计的基本流程。

2. 学生能够运用所学软件工具,完成简单的电子电路图绘制和仿真。

3. 学生了解常见的电子元器件及其功能,能正确运用到电路设计中。

技能目标:1. 学生能够独立使用EDA软件进行电路设计,具备初步的电路分析和调试能力。

2. 学生通过实践操作,掌握电路板布线、打印及制作的基本方法。

3. 学生具备团队协作能力,能够与同学共同完成复杂的电子设计项目。

情感态度价值观目标:1. 学生培养对电子技术的兴趣,激发创新意识和探索精神。

2. 学生在实践过程中,体会电子设计的实际意义,增强解决实际问题的自信心。

3. 学生通过课程学习,认识到电子技术对社会发展的作用,培养环保意识和责任感。

本课程针对中学生设计,充分考虑学生的认知水平、兴趣和实际需求。

课程以实践为主,注重培养学生的动手操作能力和团队协作精神。

通过课程学习,使学生掌握电子设计的基本技能,提高创新意识和实践能力,为未来的学习和发展奠定基础。

二、教学内容本课程教学内容分为以下四个部分:1. EDA基本概念与软件操作- 介绍EDA的基本概念、发展历程和应用领域。

- 学习并掌握常见的EDA软件操作,如电路图绘制、仿真等。

2. 电子元器件及其功能- 认识常见的电子元器件,如电阻、电容、二极管、晶体管等。

- 了解元器件的参数和选型原则,学会在电路设计中正确使用元器件。

3. 电子电路设计与仿真- 学习基本的电子电路原理,如放大器、滤波器、振荡器等。

- 应用EDA软件进行电子电路设计与仿真,分析并优化电路性能。

4. 电路板布线与制作- 学习电路板布线的基本原则和技巧。

- 完成电路板的设计、打印和制作,并进行实际测试与调试。

教学内容依据课本章节进行组织,具体安排如下:第1周:EDA基本概念与软件操作第2周:电子元器件及其功能第3-4周:电子电路设计与仿真第5-6周:电路板布线与制作教学内容注重科学性和系统性,以实践操作为主线,结合理论讲解,使学生在动手实践中掌握电子设计的基本知识和技能。

eda课程设计

eda课程设计

eda课程设计EDA课程设计EDA(Electronic Design Automation)是电子设计自动化的缩写,是指利用计算机技术和软件工具来辅助电子设计的过程。

EDA课程设计是指在电子设计自动化领域中,为学生提供相关的课程内容和实践机会,以培养学生的电子设计能力和创新能力。

EDA课程设计的目的是为了让学生掌握电子设计自动化的基本理论和方法,了解EDA软件工具的使用和应用,培养学生的电子设计能力和创新能力。

在EDA课程设计中,学生需要学习电路设计、PCB 设计、仿真分析、布局布线等相关知识,掌握EDA软件工具的使用和应用,完成电子设计项目的实践任务。

EDA课程设计的内容包括电路设计、PCB设计、仿真分析、布局布线等方面。

在电路设计方面,学生需要学习电路基本理论、电路分析方法、电路设计流程等知识,掌握常用的电路设计软件工具,如Protel、Altium Designer等。

在PCB设计方面,学生需要学习PCB设计的基本原理、PCB设计流程、PCB设计软件工具的使用等知识,掌握常用的PCB设计软件工具,如PADS、Altium Designer等。

在仿真分析方面,学生需要学习仿真分析的基本原理、仿真分析的流程、仿真分析软件工具的使用等知识,掌握常用的仿真分析软件工具,如SPICE、PSpice等。

在布局布线方面,学生需要学习布局布线的基本原理、布局布线的流程、布局布线软件工具的使用等知识,掌握常用的布局布线软件工具,如PADS、Altium Designer等。

EDA课程设计的实践任务包括电子设计项目的设计和实现。

学生需要根据实际需求,设计并实现一个电子产品,包括电路设计、PCB 设计、仿真分析、布局布线等方面。

在电路设计方面,学生需要根据实际需求,设计一个符合要求的电路,包括电路原理图、电路元器件的选型和布局等。

在PCB设计方面,学生需要根据电路设计的要求,设计一个符合要求的PCB板,包括PCB板的布局、布线、元器件的安装等。

eda全套课程设计

eda全套课程设计

eda全套课程设计一、教学目标本课程旨在让学生掌握eda的基本概念、原理和方法,培养学生运用eda技术解决实际问题的能力。

通过本课程的学习,学生应达到以下目标:1.知识目标:了解eda的基本概念、发展历程和应用领域;掌握eda的基本原理和方法,包括电路描述、逻辑设计、仿真验证等;熟悉eda工具的使用和操作。

2.技能目标:能够运用eda工具进行电路描述和逻辑设计;具备分析和解决eda 技术问题的能力;能够进行简单的eda项目实践。

3.情感态度价值观目标:培养学生对eda技术的兴趣和好奇心,激发学生主动学习和探索的精神;培养学生团队合作意识和沟通协调能力。

二、教学内容本课程的教学内容主要包括以下几个部分:1.eda概述:介绍eda的基本概念、发展历程和应用领域。

2.eda基本原理:讲解eda的基本原理,包括电路描述、逻辑设计、仿真验证等。

3.eda工具的使用:介绍常见eda工具的使用方法和操作技巧。

4.eda项目实践:通过实际项目案例,让学生掌握eda技术的应用。

三、教学方法为了提高教学效果,本课程将采用以下教学方法:1.讲授法:讲解eda的基本概念、原理和方法。

2.案例分析法:分析实际案例,让学生了解eda技术的应用。

3.实验法:让学生动手实践,掌握eda工具的使用。

4.讨论法:鼓励学生提问、发表见解,培养团队合作意识。

四、教学资源为了支持教学内容和教学方法的实施,我们将准备以下教学资源:1.教材:选用权威、实用的eda教材作为主教材。

2.参考书:提供相关的eda参考书籍,丰富学生的知识体系。

3.多媒体资料:制作精美的课件、视频等多媒体资料,提高学生的学习兴趣。

4.实验设备:配置足够的实验设备,确保每个学生都能动手实践。

五、教学评估为了全面、客观地评价学生的学习成果,本课程将采用以下评估方式:1.平时表现:通过课堂参与、提问、讨论等方式,评估学生的学习态度和积极性。

2.作业:布置适量的作业,评估学生对课程内容的掌握程度。

eda课程设计5篇[修改版]

eda课程设计5篇[修改版]

第一篇:eda课程设计数字钟一、设计要求设计一个数字钟,具体要求如下:1、具有时、分、秒计数显示功能,以24小时循环计时。

2、具有清零、校时、校分功能。

3、具有整点蜂鸣器报时以及LED花样显示功能。

二、设计方案根据设计要求,数字钟的结构如图8-3所示,包括:时hour、分minute、秒second计数模块,显示控制模块sel_clock,七段译码模块deled,报时模块alert。

三、VHDL程序library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; useIEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM;--use UNISIM.VComponents.all;entityddz is port(rst,clk: in std_logic; hour_h: out std_logic_vector( 6 downto 0); hour_l: outstd_logic_vector( 6 downto 0); min_h: out std_logic_vector( 6 downto 0);min_l: out std_logic_vector( 6 downto 0);sec_h: out std_logic_vector( 6 downto 0);sec_l: out std_logic_vector( 6 downto 0)); endddz;architecture Behavioral of ddz is signalcnt: std_logic_vector(15 downto 0); signalsec_h_in: std_logic_vector( 3 downto 0); signalsec_l_in: std_logic_vector( 3 downto 0); signalmin_h_in: std_logic_vector( 3 downto 0); signalmin_l_in: std_logic_vector( 3 downto 0); signalhour_h_in: std_logic_vector(3 downto 0); signalhour_l_in: std_logic_vector(3 downto 0);signalclk_s,clk_m,clk_h: std_logic; begin process(rst,clk) begin if rst='0' then sec_h_in'0');sec_l_in'0');clk_msec_l_inifsec_h_in=5 thensec_h_inclk_melsesec_h_inclk_mend if; else sec_l_inclk_mend if; end if; end process;process(rst,clk_m) begin if rst='0' then-- min_h_in'0');min_l_in'0'); -- clk_hmin_l_inmin_h_inclk_mend if; else min_l_inend if; end if; end process;process(rst,clk_n) begin if rst='0' then-- hour_h_in'0');hour_l_in'0'); -- clk_hhour_l_inhour_h_inclk_nend if; else hour_l_inend if; end if; end process;process(sec_l_in) begin casesec_l_in iswhen "0000" =>sec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lsec_lprocess(sec_h_in) begin casesec_h_in iswhen "0000" =>sec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hsec_hprocess(min_l_in) begin casemin_l_in iswhen "0000" =>min_lmin_lmin_lwhen "0011" =>min_lmin_lmin_lmin_lmin_lmin_lmin_lmin_lprocess(min_h_in) begin casemin_h_in iswhen "0000" =>min_hmin _h min _hmin _hmin _h min _hmin _hmin _hmin _hmin _hmin _hend case; end process;process(hour_l_in) begin casehour_l_in iswhen "0000" =>hour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lhour_lprocess(hour_h_in) begin casehour_h_in iswhen "0000" =>hour_hhour_hhour_hhour_h hour _h hour _h hour _h hour _h hour _hhour_h hour _h四、VHDL仿真结果五、课程设计心得通过这次课程设计,有效得巩固了课本所学的知识,而且通过上机仿真不断发现问题并及时改正,加深了我们对该课程设计的印象。

eda课程设计模拟电路设计吗

eda课程设计模拟电路设计吗

eda课程设计模拟电路设计吗一、课程目标知识目标:1. 让学生掌握EDA工具的使用方法,理解模拟电路设计的基本原理。

2. 使学生掌握常见模拟电路的组成、功能及性能参数。

3. 帮助学生了解模拟电路在实际应用中的优势及局限性。

技能目标:1. 培养学生运用EDA工具进行模拟电路设计的能力。

2. 提高学生分析、解决模拟电路设计过程中遇到问题的能力。

3. 培养学生的团队协作能力和沟通表达能力。

情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发学习热情。

2. 培养学生严谨的科学态度,注重实践操作,养成良好的实验习惯。

3. 增强学生的创新意识,鼓励他们勇于探索、突破传统思维。

课程性质:本课程为电子技术专业课程,以实践操作为主,理论教学为辅。

学生特点:学生具备一定的电子技术基础,对模拟电路设计有一定了解,但对EDA工具的使用和实际操作经验不足。

教学要求:结合学生特点,注重实践操作,强调课程内容的实用性和针对性,以培养学生的实际操作能力和创新能力为主要目标。

通过课程学习,使学生能够独立完成模拟电路设计任务,为后续专业课程打下坚实基础。

二、教学内容1. EDA工具介绍与操作方法- EDA工具的安装与配置- 常用EDA工具界面及功能介绍- 基本操作与使用技巧2. 模拟电路设计基本原理- 模拟电路的基本概念与分类- 常见模拟电路元件及特性- 模拟电路分析方法3. 常见模拟电路设计- 非线性电路分析与设计- 放大电路分析与设计- 滤波电路分析与设计- 信号发生器分析与设计4. 模拟电路仿真与优化- 仿真软件的使用方法- 电路仿真过程与结果分析- 模拟电路优化方法与技巧5. 实践操作与案例分析- 实验室实践操作指导- 案例分析与讨论- 设计任务与作品展示教学内容安排与进度:第一周:EDA工具介绍与操作方法第二周:模拟电路设计基本原理第三周:常见模拟电路设计(非线性电路、放大电路)第四周:常见模拟电路设计(滤波电路、信号发生器)第五周:模拟电路仿真与优化第六周:实践操作与案例分析教材章节关联:本教学内容与教材中“模拟电子技术”章节相关,涉及教材中第3章至第6章的内容,具体包括:EDA工具、模拟电路基本原理、常见模拟电路设计、仿真与优化等。

EDA课程设计及应用课程设计

EDA课程设计及应用课程设计

EDA课程设计及应用课程设计一、课程目标知识目标:1. 理解EDA(电子设计自动化)的基本概念,掌握相关软件工具的使用方法。

2. 学习并掌握数字电路的基本原理和设计流程,能运用EDA工具完成基础数字电路的设计与仿真。

3. 掌握课程相关领域的专业知识,如电子元器件、逻辑门、触发器等,并能将其应用于实际电路设计中。

技能目标:1. 培养学生运用EDA软件进行数字电路设计与仿真的能力,提高实践操作技能。

2. 培养学生分析问题、解决问题的能力,使其能够针对实际问题进行合理的电路设计和优化。

3. 提高学生的团队协作能力,通过小组合作完成课程设计项目。

情感态度价值观目标:1. 培养学生对电子工程领域的兴趣,激发其学习热情,形成主动探索和积极进取的学习态度。

2. 培养学生严谨、细致、负责的工作作风,养成遵守实验规程、爱护实验设备的良好习惯。

3. 培养学生的创新意识,鼓励他们勇于尝试、不断挑战,形成良好的创新精神。

本课程针对高年级学生,在已有电子技术基础的前提下,通过EDA课程设计及应用,旨在提高学生的理论联系实际能力,培养他们在电子设计领域的创新精神和实践技能。

课程目标紧密围绕学科知识、学生特点及教学要求,分解为具体的学习成果,以便于教学设计和评估的实施。

二、教学内容本章节教学内容主要包括以下三个方面:1. EDA基本概念与工具使用- 介绍EDA的基本概念、发展历程和应用领域。

- 学习并掌握主流EDA软件(如Multisim、Proteus等)的基本操作和功能。

2. 数字电路原理与设计- 回顾数字电路基础知识,包括逻辑门、触发器、计数器等。

- 学习数字电路设计流程,掌握从电路图绘制到电路仿真的全过程。

教学内容关联教材第3章“数字电路基础”和第4章“数字电路设计与仿真”。

3. 课程设计与实践- 分组进行课程设计,要求学生运用所学知识完成一个简单的数字电路设计与仿真。

- 教学过程中,安排如下进度:a. 第1周:分组,明确设计任务和要求。

eda课程信号发生器课程设计

eda课程信号发生器课程设计

eda课程信号发生器课程设计一、课程目标知识目标:1. 学生能理解EDA课程中信号发生器的原理与功能,掌握相关电子元件的工作特性。

2. 学生能够掌握信号发生器的分类、特点及应用场景,了解各类信号发生器的优缺点。

3. 学生能够运用所学知识,分析并设计简单的信号发生器电路。

技能目标:1. 学生能够熟练运用EDA软件进行信号发生器电路的设计、仿真与调试。

2. 学生能够独立完成信号发生器的硬件搭建,并进行基本的性能测试。

3. 学生能够通过实际操作,提高动手实践能力,培养解决实际问题的能力。

情感态度价值观目标:1. 学生能够培养对电子工程的兴趣,激发创新意识,形成主动学习的习惯。

2. 学生能够培养团队协作精神,学会与他人沟通交流,共同解决问题。

3. 学生能够认识到信号发生器在现代社会中的重要作用,增强社会责任感和使命感。

本课程针对高年级学生,在分析课程性质、学生特点和教学要求的基础上,明确以上课程目标。

通过分解目标为具体的学习成果,使学生在掌握专业知识的同时,提高实践操作能力和团队协作能力,培养良好的情感态度价值观。

为后续的教学设计和评估提供明确的方向。

二、教学内容本章节教学内容依据课程目标,紧密结合教材,确保科学性和系统性。

主要内容包括:1. 信号发生器原理与分类:讲解信号发生器的基本原理、功能及分类,重点介绍函数发生器、脉冲发生器等常见类型的工作原理及应用。

2. 电子元件特性分析:分析常用电子元件(如运放、晶体管、二极管等)在信号发生器中的作用,掌握其工作特性。

3. 信号发生器电路设计:根据实际需求,设计不同类型的信号发生器电路,分析电路性能,优化设计方案。

4. EDA软件应用:教授学生如何使用EDA软件进行信号发生器电路的设计、仿真与调试,提高实际操作能力。

5. 硬件搭建与性能测试:指导学生搭建信号发生器硬件电路,进行基本性能测试,分析测试结果,找出问题并解决。

教学内容安排如下:1. 第1周:信号发生器原理与分类,电子元件特性分析。

eda最简单的课程设计

eda最简单的课程设计

eda最简单的课程设计一、课程目标知识目标:1. 让学生掌握EDA(电子设计自动化)的基本概念,理解其在现代电子设计领域的重要性。

2. 使学生了解并能够描述EDA工具的基本功能,如电路图绘制、电路仿真、PCB设计等。

3. 帮助学生理解并掌握简单电路的EDA设计流程。

技能目标:1. 培养学生运用EDA软件进行电路图绘制和电路仿真的能力。

2. 培养学生通过EDA工具设计简单的PCB布线图,并能进行基本的质量检查。

3. 培养学生具备团队协作和沟通能力,能够就设计过程中遇到的问题进行有效讨论。

情感态度价值观目标:1. 培养学生对电子设计领域的兴趣,激发创新意识,提高实践能力。

2. 培养学生具有严谨的科学态度,对待设计任务认真负责,追求卓越。

3. 培养学生具备合作精神,学会尊重他人意见,共同解决问题。

分析课程性质、学生特点和教学要求:本课程为电子技术相关课程,旨在让学生通过实践操作,掌握EDA技术的基本应用。

针对初中年级学生,课程内容需结合学生已有的电子知识,注重启发性和趣味性。

在教学过程中,注重培养学生的实际操作能力和团队协作能力。

二、教学内容1. EDA基本概念介绍:包括EDA的定义、发展历程、主要应用领域。

2. EDA工具功能概述:介绍常见的EDA软件,如Altium Designer、Cadence等,以及它们的主要功能特点。

- 电路图绘制:学习如何使用EDA软件绘制电路原理图。

- 电路仿真:了解仿真原理,学习使用EDA软件进行电路功能仿真。

- PCB设计:学习PCB布线设计的基本概念和方法,掌握简单PCB布线图的绘制。

3. 简单电路的EDA设计流程:以实际案例为引导,让学生了解从电路设计到PCB制作的完整流程。

- 设计任务分析:明确设计任务,分析电路功能需求。

- 电路图绘制与仿真:根据需求,使用EDA软件完成电路图绘制并进行仿真测试。

- PCB布线与制作:将电路图转化为PCB布线图,并进行基本的质量检查。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计课程设计名称:EDA课程设计专业班级: xxxxxxxxxxx学生姓名: xxxxx学号: xxxxxxxxxxxxxxx指导教师:焦素敏课程设计时间: 2011-12-19~2011-12-30电子信息工程与技术专业课程设计任务书学生姓名张进业专业班级xxxxxx 学号xxxxxxxxxxx 题目交通信号灯控制器课题性质工程设计课题来源自拟课题指导教师焦素敏同组姓名主要内容1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。

3、主干道处于常允许通行的状态,支干道有车来时才允许通行。

主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。

5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。

任务要求1根据设计题目要求进行方案设计并编写相应程序代码2对编写的VHDL程序代码进行编译和仿真3总结设计内容,完成课程设计说明书参考文献[1] 焦素敏.EDA课程设计指导书.郑州:河南工业大学,2008[2] 焦素敏.EDA应用技术.北京:清华学出版社,2005[3] 朱正伟.EDA技术及应用.北京:北京大学出版社,2005[4] 赵富,李立军EDA技术基础.北京:北京大学出版社,2007[5] 曹昕臣,聂春燕EDA技术实验与课程设计.北京:清华大学出版社,2007审查意见指导教师签字:焦素敏教研室主任签字:张庆辉 2011年12 月19 日1设计任务及要求设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。

要求:(1)交通灯从绿变红时,有4秒黄灯亮的间隔时间;(2)交通灯红变绿是直接进行的,没有间隔时间;(3)主干道上的绿灯时间为45秒,支干道的绿灯时间为25秒;(4)在任意时间,显示每个状态到该状态结束所需的时间。

支干道主干道图1 路口交通管理示意图A B C D主干道交通灯绿(45秒)黄(4秒)红(25秒)红(4秒)支干道交通灯红红绿黄表1 交通信号灯的4种状态设计要求:(1)采用VHDL语言编写程序,并在QUARTUSII工具平台中进行仿真,下载到EDA实验箱进行验证。

(2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。

2设计原理及总体框图设计目的:学习DEA开发软件和QuartusII的使用方法,熟悉可编程逻辑器件的使用。

通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制设计原理(1)第一模块:clk时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。

因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作。

模块说明:系统输入信号:Clk: 由外接信号发生器提供256的时钟信号;系统输出信号: full:产生每秒一个脉冲的信号;(2)第二模块:计数秒数选择电路计数电路最主要的功能就是记数负责显示倒数的计数值,对下一个模块提供状态转换信号。

模块说明:系统输入:full: 接收由clk电路的提供的1hz的时钟脉冲信号;系统输出信号:tm:产生显示电路状态转换信号tl:倒计数值秒数个位变化控制信号th:倒计数值秒数十位变化控制信号(3)第三模块:红绿灯状态转换电路本电路负责红绿灯的转换。

模块说明:系统输入信号:full: 接收由clk电路的提供的1hz的时钟脉冲信号;tm: 接收计数秒数选择电路状态转换信号;系统输出信号:comb_out: 负责红绿灯的状态显示。

(4)第四模块:时间显示电路本电路负责红绿灯的计数时间的显示。

模块说明:系统输入信号:tl:倒计数值秒数个位变化控制信号;th :倒计数值 秒数十位变化控制信号;系统输出信号: led7s1: 负责红绿灯的显示秒数个位。

led7s2: 负责红绿灯的显示秒数十位总体框图时间显示数据输出红黄绿灯信号输出图2 交通信号灯控制器的原理框图采用VHDL 语言输入的方式实现交通信号灯控制器CLK时间显示数据输出信号灯输出 图3 交通信号灯控制器程序原理框图该程序由7个进程组成,进程P1和P2将CLK 信号分频后产生1秒信号,进程P3、P4、P5构成两个带有预置数功能的十进制计数器,其中P4产生允许十位计数器计数的控制信号。

进程P6实现状态转换和产生状态转换的控制信号,进程P7产生次态信号和信号灯输出信号,以及每一个状态的时间值。

状态发生器(两位二进制计数器) 定时时间到检测电路 预置数产生电路 计时器 1秒时钟脉冲信号发生器 红黄绿灯输出控制电路(RYG ) 秒脉冲信号发生器(进程P1和P2) 计数器 (进程P3、P4和P5) 状态寄存器 (进程P6) 次态发生器信号灯输出信号(进程P7)3程序设计LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY traffic ISPORT (clk:in std_logic;led7s1:out std_logic_vector(6 downto 0);led7s2:out std_logic_vector(6 downto 0);comb_out:out std_logic_vector(5 downto 0));END;ARCHITECTURE one OF traffic ISTYPE dm IS (s0,s1,s2,s3);SIgnal current_state,next_state:dm;SIGNAL FULL : STD_LOGIC;SIGNAL tl :STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL th:STD_LOGIC_VECTOR(1 DOWNTO 0);SIGNAL tm :STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL TIME :STD_LOGIC_VECTOR(6 DOWNTO 0); BEGINP_REG: PROCESS(CLK)VARIABLE CNT8:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINIF CLK'EVENT AND CLK='1' THENIF CNT8 = "11111111" THENCNT8:="01111111";FULL<='1';ELSE CNT8 := CNT8+1;FULL <= '0';END IF; END IF;END PROCESS P_REG;PROCESS(full)BEGINIF full'EVENT AND full='1' THENIF TIME<"1000011" THENTIME<=TIME+1;ELSe TIME <="0000000";END IF;END IF;END PROCESS;REG:process( full,current_state)BEGINIF full='1' AND full'EVENT THENcurrent_state<=next_state;END IF;END process;COM:process(current_state, time)begincase current_state iswhen s0=>comb_out<="001100";tm<=39-time; if time=39 then next_state<=s1;else next_state<=s0;end if;when s1=>comb_out<="010100";tm<=43-time; if time=43 then next_state<=s2;else next_state<=s1;end if;when s2=>comb_out<="100010";tm<=63-time;if time=63 then next_state<=s3;else next_state<=s2;end if;when s3=>comb_out<="100001";tm<=67-time; if time=67 then next_state<=s0;else next_state<=s3;end if;end case;end process;PROCESS(tm)BEGINIF tm>=30 THEN th<="11";tl<=tm-30;ELSIF tm>=20 THEN th<="10";tl<=tm-20; ELSIF tm>=10 THEN th<="01";tl<=tm-10; ELSE th<="00";tl<=tm;END IF;END PROCESS;process(th,tl)begincase th iswhen"00"=>led7s1<="0111111";when"01"=>led7s1<="0000110";when"10"=>led7s1<="1011011";when"11"=>led7s1<="1001111";when others=>null;end case;case tl iswhen "0000000"=>led7s2<="0111111";when"0000001"=>led7s2<="0000110";when "0000010"=>led7s2<="1011011";when"0000011"=>led7s2<="1001111";when"0000100"=>led7s2<="1100110";when "0000101"=>led7s2<="1101101";when "0000110"=>led7s2<="1111101";when"0000111"=>led7s2<="0000111";when "0001000"=>led7s2<="1111111";when "0001001"=>led7s2<="1101111";when others=>null;end case;end process;end;4编译及仿真CLK为1KHZ系统时钟脉冲CAR为支干道车辆行驶情况,高电平为有车行驶,低电平为无车行驶LED为交通灯发光情况SEL为数码管位码扫描SEG为数码管段码CLK绑定时钟1KHZCAR绑定DK4LED[0]绑定LED6;--支干道红灯LED[1]绑定LED7;--支干道黄灯LED[2]绑定LED8;--支干道绿灯LED[3]绑定LED1;--主干道红灯LED[4]绑定LED2;--主干道黄灯LED[5]绑定LED3;--主干道绿灯SEL[0]绑定LI0;SEL[1]绑定LI1;SEL[2]绑定LI2。

相关文档
最新文档