实验1-红黄绿灯的控制

合集下载

EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器

EDA实验课程大作业报告:设计制作一个用于十字路口的交通灯控制器

交通灯控制器设计一.系统功能设计要求设计制作一个用于十字路口的交通灯控制器,要求如下:(1)南北和东西方向各有一组红、绿、黄灯来指挥交通,持续时间分别为25S,20S,和5S。

(2)当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止。

(3)当特殊情况结束后,控制器恢复原来状态,继续正常运行。

(4)用两组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。

二.设计原理1.交通灯控制器的状态转换根据题目要求将将红绿灯的状态转换列成如下表:2.设计方案1)由于交通灯需要使用2位7段LED数码管指示通行剩余时间,故采用LED动态扫描方式显示当前时间。

频率设定CLK1k对应的频率为50MHZ。

2)控制模块是交通灯的核心,主要控制交通灯按工作顺序自动变换,同时控制倒计时模块工作,每当倒计时回零时,控制模块接收到一个计时信号,从而控制交通灯进入下一个工作状态。

3)每个方向有一组2位倒计时器模块,用以显示该方向交通灯剩余的点亮时间。

4)显示模块由两部分组成,一是由七段数码管组成的倒计时显示器,每个方向两个七段数码管;二是由发光二极管代替的交通灯,每个方向3个发光二极管。

三.变量符号说明其中,CLK1K为系统时钟信号输入端,SN为禁止通行信号输入通行信号输入端,light0为东西红灯信号输出端,light1为东西黄灯信号输出端,light2为东西绿灯信号输出端,light3为南北红灯信号输出端,light4为南北黄灯信号输出端,light5为南北绿灯信号输出端,led1、led2、led3、led4为数码管地址选择信号输出端。

四.代码说明library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Hongld ISport (clk1k,SN:in std_logic; --SN紧急情况led1, led2, led3, led4 :out std_logic_vector (6 downto 0);--显示管显示时间用light:out std_logic_vector (5 downto 0)); --红绿黄灯end Hongld;architecture traffic1 of Hongld ISsignal S:std_logic_vector (1 downto 0); --状态signal DXT:std_logic_vector(7 downto 0):=X"01"; --东西方向时间signal NBX:std_logic_vector(7 downto 0):=X"01"; --南北方向时间signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto 0); --红绿黄灯信号signal temp: integer range 0 to 49999999; --产生1s计数器时计数signal clk: std_logic;beginART<="00100101";AGT<="00100000";AYT<="00000100";BRT<="00100101";BGT<="00100000";BYT<="00000100";process(clk1k) -- 选频率为50MHZ beginif (clk1k'event and clk1k='1') thenif temp=49999999 thentemp<=0;clk<='1';elsetemp<=temp+1;clk<='0';end if;end if;end process;process(clk,DXT,NBX) --状态转换进程beginif clk'event and clk ='1' thenif(DXT ="00000001")OR (NBX = "00000001") then S<=S+1;else S<=S;end if; --状态转换结束end if;end process;process (clk,SN,S) --倒计时模块beginif SN = '1' then DXT<=DXT; NBX<=NBX;elseif clk'event and clk='1' thenif (DXT="0000000") OR (NBX="00000000") thencase S ISwhen "00"=>DXT<=ART; NBX<=BGT; --南北红灯、东西绿灯when "01"=>NBX<=BYT; --南北红灯、东西黄灯when "10"=>DXT<=AGT; NBX<=BRT; --南北绿灯、东西红灯when "11"=>DXT<=AYT; --南北黄灯、东西红灯when others=>NULL;end case;end if;if DXT/="00000000" thenif DXT(3 downto 0)= "0000" thenDXT(3 downto 0)<="1001";DXT(7 downto 4)<=DXT(7 downto 4)-1;else DXT(3 downto 0)<=DXT(3 downto 0)-1;DXT(7 downto 4)<=DXT(7 downto 4);end if;end if;if NBX/="00000000" thenif NBX(3 downto 0)="0000" thenNBX(3 downto 0)<="1001";NBX(7 downto 4)<=NBX(7 downto 4)-1;else NBX(3 downto 0)<=NBX(3 downto 0)-1;NBX(7 downto 4)<=NBX(7 downto 4);end if;end if;end if;end if;end process; --倒计时模块结束process(DXT,NBX,S,SN) --显示模块begincase NBX(3 downto 0) iswhen "0000"=>led1<="1000000";when "0010"=>led1<="0100100"; when "0011"=>led1<="0110000"; when "0100"=>led1<="0011001"; when "0101"=>led1<="0010010"; when "0110"=>led1<="0000010"; when "0111"=>led1<="1111000"; when "1000"=>led1<="0000000"; when "1001"=>led1<="0010000"; when others=>led1<="1111111"; end case;case NBX(7 downto 4) iswhen "0000"=>led2<="1000000"; when "0001"=>led2<="1111001"; when "0010"=>led2<="0100100"; when "0011"=>led2<="0110000"; when "0100"=>led2<="0011001"; when "0101"=>led2<="0010010"; when "0110"=>led2<="0000010"; when "0111"=>led2<="1111000"; when "1000"=>led2<="0000000"; when "1001"=>led2<="0010000"; when others=>led2<="1111111"; end case;case DXT(3 downto 0) iswhen "0000"=>led3<="1000000"; when "0001"=>led3<="1111001"; when "0010"=>led3<="0100100"; when "0011"=>led3<="0110000"; when "0100"=>led3<="0011001"; when "0101"=>led3<="0010010"; when "0110"=>led3<="0000010"; when "0111"=>led3<="1111000"; when "1000"=>led3<="0000000"; when "1001"=>led3<="0010000"; when others=>led3<="1111111"; end case;case DXT(7 downto 4) iswhen "0000"=>led4<="1000000"; when "0001"=>led4<="1111001"; when "0010"=>led4<="0100100";when "0100"=>led4<="0011001";when "0101"=>led4<="0010010";when "0110"=>led4<="0000010";when "0111"=>led4<="1111000";when "1000"=>led4<="0000000";when "1001"=>led4<="0010000";when others=>led4<="1111111";end case;if SN ='1' then light<="001001";elsecase S ISwhen "00"=>light<="010001";when "01"=> light <="100001";when "10"=> light <="001010";when "11"=> light <="001100";when others=>NULL;end case;end if;end process;end traffic1;五.仿真波形图仿真时序波形图。

PLC交通灯控制实训报告

PLC交通灯控制实训报告

《微机原理与控制技术》课程设计报告题目:____________姓名:____________学号:____________班级:____________辅导教师:____________日期:____________目录目录................................................................................................ 摘要................................................................................................ 1系统概述.....................................................................................2 硬件设计....................................................................................3 软件设计.................................................................................... 总结与体会.................................................................................... 参考文献........................................................................................摘要交通灯在安全行车过程中起着十分重要的作用, 现在交通灯一般设在十字路口, 在醒目位置用红、绿、黄三种颜色的指示灯, 加上一个倒计时的显示计时器来控制行车, 对于一般情况下的安全行车、车辆分流发挥着作用, 但根据实际行车过程中出现的情况, 主要有如下几个缺点:1.两车道让车轮流放行时间相同且固定, 在十字路口, 经常一个车道为主干道,车辆较多, 放行时间应该长些; 另一车道为副干道, 车辆较少,放行时间短些。

单片机课程设计报告 - 十字路口交通灯控制

单片机课程设计报告 - 十字路口交通灯控制

宁夏大学新华学院课程考核绪论主要内容:随着各种交通工具的发展和交通指挥的需要,第一盏名副其实的三色灯(红、黄、绿三种标志)于1918年诞生。

它是三色圆形四面投影器,被安装在纽约市五号街的一座高塔上,由于它的诞生,使城市交通大为改善。

黄色信号灯的发明者是我国的胡汝鼎,他怀着“科学救国”的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员。

一天,他站在繁华的十字路口等待绿灯信号,当他看到红灯而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。

回到宿舍,他反复琢磨,终于想到在红、绿灯中间再加上一个黄色信号灯,提醒人们注意危险。

他的建议立即得到有关方面的肯定。

于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,遍及全世界陆、海、空交通领域了。

交通灯控制器设计主要功能是用单片机控制LED灯模拟指示。

模拟东西南北方向的十字路口交通灯信号控制情况。

以89C51单片机为核心芯片,采用中断方式实现控制。

本模拟系统由单片机硬/软件系统,两位8段数码管和LED灯显示系统。

和复位电路控制电路等组成,较好的模拟了交通路面的控制。

基本要求:利用单片机的定时器产生秒信号,控制十字路口的红、绿、黄灯交替点亮和熄灭,并且用4只LED数码管显示十字路口两个方向的剩余时间。

要求能用按键设置两个方向的通行时间(绿、红等点亮的时间)和暂缓通行时间(黄灯点亮的时间)。

系统的工作应符合一般交通灯控制的要求。

参考文献:[1] 张毅刚,彭喜元编著.《单片机原理与应用设计》[2] 郭天祥编著.《新概念51单片机C语言教程:入门、提高、开发、拓展全攻略》[3]编写本课程设计内容的软件设计(包含程序流程图和对程序注释)。

[4]硬件实验部分可选用实验箱测试或Proteus仿真软件实现。

目录1 绪论 (2)1.1主要内容 (2)1.2 基本要求 (3)1.3 参考文献 (3)2 设计方案简介 (5)3 系统需求分析 (6)4 单片机概述 (6)5 本设计的简要概述 (7)6 本设计主要包括几个主要的元件 (8)7 模块设计 (9)7.1硬件原理图 (9)7.2软件系统结构图 (10)7.3软件流程图 (10)8 源程序 (12)9 主要函数说明 (33)对本设计的简单评述 (34)附录A (35)附录B (35)设计方案简介:交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。

交通灯实验报告

交通灯实验报告

交通灯实验报告一、实验分析:利用计数器74LS192做60秒、57秒和3秒的倒计时(在计数器74LS192的,当计数器上的十位上的计数器为九时置5做成一个六十秒的倒计时)做倒计时3秒和倒计时六十秒相与得到倒计时57秒和3秒。

做倒计时的57秒和3秒的分析过程可以根据以下图一可知:红灯南北(东西):绿灯东西(南北):黄灯东西(南北):图一做好倒计时后,放入JK触发器后做好各个方向的灯的信号,加入三极管增大负载后接入灯泡。

二、实验目的:1、熟悉计数器74LS192、触发器、三极管、和各种门电路的应用。

2、利用各种器材做出交通灯。

三、实验器材:计数器74LS192、7SEG-BCD、JK触发器、三极管2N1711、电阻、与门、与非门、红灯LED-RED、绿灯LED-BIRG、黄灯LED-BIBY。

四、实验步骤:1、倒计时的制作:用计数器74LS192做60秒的倒计时把脉冲接到计数器的DN端,在十位的那里用九置五,个位的满一周后接一个脉冲放在十位的DN上,个位和十位的计数器的UP和清零端(高电平有效)接低电平。

接的图如图二所示:图二做3秒的脉冲把个位的B3、B2与起来以后与B7、B6、B5、B1非后的数在与非得到B11。

做三秒脉冲时的分析:(当B3和B2为高电平的数有3、7、13、17、23、27、33、37、43、47、53、57所以当为三秒的时候就只能B3、B2的时候为高电平就得排除B7、B6、B5、B1)连接的图如下图三所示:图三做57秒的脉冲和3秒的脉冲之和就为60秒脉冲所以就可以把B11、B10与起来以后做黄灯和绿灯的脉冲,六十秒做红灯的脉冲。

分析图和实验图如图四和图五所示:红灯南北(东西):绿灯东西(南北):黄灯东西(南北):图四图五2、利用JK触发器对红绿灯的控制:把步骤1上做的控制红绿黄的倒计时脉冲接在JK触发器上的脉冲处。

根据JK触发器的特性方程Q*=JQ’+K’Q,特性表如下图六所示可以知道为了要达到控制红绿灯的要求Q*=Q’,所以J和K端都要接高电平。

数字电路--交通信号灯的自动控制设计报告

数字电路--交通信号灯的自动控制设计报告

课程设计报告课程: 数字电路题目:交通信号灯的自动控制院系: 淮北师范大学信息学院专业: 2012级电子信息科学与技术学号: 201218052034姓名: ***指导老师: **完成日期: 2014 年 06 月 06 日一、课程设计题目:交通信号灯的自动控制背景:随着经济建设的发展,交通日益繁忙,事故时有发生为了保障行人和行车的安全,在十字路口上,都增设了交通灯控制器。

而且大道通常有车,小道很少有车。

设计要求:1、通常情况下,大道绿灯亮,小道红灯亮。

2、若小道来车,大道经6秒由绿灯变为黄灯;再经过4秒,大道由黄灯变为红灯,同时,小道由红灯变为绿灯。

3、小道变绿灯后,则经过10秒钟后自动由绿灯变为黄灯,在经过4 秒变为红灯,同时,大道由红灯变为绿灯。

设计说明和提示:1、灯的变化出现四个状态,用“1”表示灯亮,“0”表示灯灭,其状态表如下:表一大道小道绿(G大)黄(Y大) 红(R大)绿(G小) 黄(Y小)红(R小)1 0 0 0 0 10 1 0 0 0 10 0 1 1 0 00 0 1 0 1 02、原理图分析:控制器:信号灯有四个状态,所以可以用两位二进制数控制这四个状态。

信号灯的四个状态可以用74ls161的两个输出端Q 0Q 1作为控制信号,Q 0Q 1通过与非门芯片控制交通灯,信号灯的状态作计时器的选通信号。

计时器:74ls161通过同步置数构成四秒、六秒、十秒计时器,置数端作为通过门电路做控制器的时钟信号。

秒信号:NE555可构成秒脉冲发生器,做计时器的控制信号。

检测信号:当小道来车时使计时器和控制器选通端选通。

二、设计原理:1、主控电路的设计:由表1可知道路信号灯的亮灭可由两位二进制数Q1Q0的状态表示, 则可以写出信号灯的状态表达式:G 大=01Q Q ;Y 大=1Q Q0; R 大=Q10Q +Q1Q0=Q1 G 小=Q10Q ;Y 小=Q1Q0;R 小=01Q Q +1Q Q0=1Q表达式中Q1Q0的状态可有计数器74LS161的Q1和Q0的两个输大道信号灯 小道信号灯秒信号控制器驱动器计数器出端来表示。

红绿灯管控机制

红绿灯管控机制

红绿灯管控机制引言:红绿灯作为城市道路交通管理的重要组成部分,是为了保证道路交通的有序进行而设置的。

红绿灯的管控机制是基于交通信号灯的工作原理,通过合理的时间分配和信号灯的变换,来控制不同方向的车辆和行人的通行,以确保交通的安全和畅通。

一、红绿灯信号灯的工作原理红绿灯信号灯是由红灯、黄灯和绿灯组成的。

红灯表示停车,黄灯表示准备停车或警示,绿灯表示通行。

红绿灯的工作原理是根据预设的时间周期来进行控制。

二、红绿灯的时间分配红绿灯的时间分配是根据道路的交通流量和行人通行情况进行合理规划的。

一般情况下,主干道的绿灯时间较长,以保证车辆的流畅通行;而次干道的绿灯时间相对较短,以便主干道的车辆优先通行。

此外,还要考虑到不同时间段的交通流量差异,根据实际情况进行调整。

三、红绿灯信号的变换红绿灯信号的变换是根据预定的时间周期进行的。

一般情况下,红灯持续时间较短,黄灯持续时间较短,绿灯持续时间较长。

当绿灯亮起时,表示车辆可以通行,行人可以横穿马路。

当黄灯亮起时,表示绿灯即将变为红灯,车辆应减速停车,行人不得再横穿马路。

当红灯亮起时,表示车辆应停车等待,行人不得横穿马路。

四、红绿灯的控制方式红绿灯的控制方式有手动控制和自动控制两种。

手动控制是由交警或工作人员通过控制开关或按钮来控制红绿灯的变换。

自动控制是通过交通信号控制设备来实现的,通过交通监控设备感知交通流量和行人通行情况,自动调整红绿灯的时间分配和信号变换。

五、红绿灯的优化调整为了提高红绿灯的效率和交通的通行能力,需要对红绿灯进行优化调整。

首先,可以根据实时的交通流量和行人通行情况,动态调整红绿灯的时间分配。

其次,可以利用智能交通技术,通过交通监控设备对交通流量进行实时监测和预测,从而优化红绿灯的控制方式和时间分配,提高交通的通行效率。

六、红绿灯的作用和意义红绿灯作为城市道路交通管理的重要手段,具有以下作用和意义:1. 维护交通秩序:红绿灯可以有效控制车辆和行人的通行,维护交通秩序,减少交通事故的发生。

交通灯控制实验的改进

交通灯控制实验的改进
LI AN G he g S n
( e g h n o e eo u ia r l ie i , u n z o 1 3 3 C ia Z n c e gC U g f o t Ch ma Un r t G a g h u 5 6 , hn ) S h n No v s y 1
右。 ④南北路 口的红灯继续亮 , 同时东西路 口的黄灯 闪烁若=次。本实验使用汇编语言设计程序 , F _ 与计算机相连接的实验箱使用清
华 大 学 科 教 仪器 厂研 制 的“T 一 1 机 原 理 与 单 片 机 二 合一 实验 系统 ”开 发 环 境 使 用 “ P - 2 0 A集 成 开 发 环 境 ” 其 中 L 、6、 1C r 微 , TC 03 。 7L L 5和 L 、 1I 2 L 、0分 别 依 次 为红 黄绿 灯 。 实验 开始 先按 照 实 验指 导 书 上提 供 的 程 序 运 行 一 次 , 结果 是 东 西 与 南北 两个 路 口的红 绿 J 其
梁盛
( 南 师 范 大 学 增 城 学 院 , 东 广 州 5 16 ) 华 广 13 3 ・
摘要 : 交通 灯 控 制 实验 是 微机 原理 与接 口技 术 课 程 中一 个 非 常 重要 的 实验 。该 文 对 交 通 灯控 制 实验 进 行了 探 讨 , 出一 些 改进 实验 提 内容 的 方 法 , 而 提 高 了 实验 效 果 , 养 了学 生 的 动手 能力 与 创 新 能 力 。 n r l mir c mp t rit r c ; x e me t y wo d :t i g t o to ; co o u e n ef e e p r n a i a i
交 通灯 控 制 实 验 是 微 机 原 理 与 接 口技 术 课 程 中一 个 非 常重 要 的 实验 , 模 拟 现 实 十字 路 口交通 灯 的控 制 。但 配套 实 验 指 导 书 它 所 提 供 的 实 验 内容 比较 简 单 , 仅 是 按 照 实 验 指 导 书 提供 的 电路 图 ( 1 连 接 导线 , 着 按 照 实 验指 导 书 提供 的程 序 运 行 。学 生 很 仅 图 ) 接 快 就 会 操作 完毕 , 到 有 显示 结 果 , 以 为 完 成 了这 次 实 验 , 有 任 何 思 考 。 种原 封 不 动 照 抄 的办 法 不 能让 学生 很 好 的掌 握 I 看 就 没 这 / O接 口芯 片 的 使用 为 此 , 们通 过 研 究 , 我 采取 多种 改进 方 式 , 原 实 验 内容 加 以 改 进 , 序 渐 进 , 效 促 进 了学 生 的 积极 思 考 , 效 提 高 对 循 有 有 了 实 验 效果 。

实验1:CC2530 LED 灯闪烁实验

实验1:CC2530 LED 灯闪烁实验

计算机科学与技术学院实验报告课程名称:无线传感器网络原理与应用实验一CC2530 LED灯闪烁实验一、实验目的熟悉鼎轩 WSN 实验平台使用的基本步骤,熟悉 IAR 开发环境,掌握 CC2530 芯片 LED 对应的 GPIO 引脚,并且熟练掌握 LED 的使用。

二、实验内容1)安装 IAR 开发环境;2)控制红灯、绿灯、蓝灯(蓝灯、黄灯、绿灯)交替闪烁。

三、实验环境硬件:鼎轩 WSN 实验箱(汇聚网关、烧录线),PC 机;软件:IAR 软件。

四、实验步骤目前网关上有红、绿、蓝 3 个 LED 灯,还另有一个红色的 LED 工作指示灯,节点上有红、蓝、黄、绿 4 个 LED 灯,其中,红灯是工作指示灯,蓝灯和黄灯主要用于程序调试。

现在对 LED 灯的操作主要是点亮和关闭,下面是CC2530 中 LED部分的原理图。

LED 颜色, MCU 管脚,信号控制关系如下:对于网关板:对于节点板:要想通过编程 P1,P2 引脚控制 LED 的亮灭,必须设置对应的引脚方向为输出,对应的暂存器为 P1DIR,P2DIR。

P2DIR :D0~D4 设置 P2_0 到到 P2_4 的方向D7 、D6 位作为端口 0 外设优先级的控制1)打开鼎轩 WSN 实验箱,检查实验箱设备,确保实验箱设备完整、连接无误后,连接电源线,打开电源开关;2)安装好 IAR 开发环境以及驱动程序,详细方法见说明资料;3)用烧录线连接汇聚网关上的烧录接口与 PC 机 USB 接口,连接方法可参考相应的说明资料;4) 双击打开目录(/cc2530-simple-demo/LED_BLINK)下的工程图标 AUTO.eww 打开工程;5) 点击 IAR 中的图标按钮编译程序;6)完成编译后若没有错误信息,将实验箱节点编程开关上汇聚网关开关拨上去,点击调试并下载按钮将程序下载到汇聚网关上;7) 运行程序,可以观察到红灯和绿灯交替闪烁。

8) 修改程序代码,实现红、蓝、绿三个灯一起闪烁。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验1:红黄绿灯的控制
C程序

#include
sbit red=P1^4;
sbit green=P1^5;
sbit yellow=P1^6;
void delayms(unsigned int x)
{
unsigned char y;
while(x--)
{
for(y=0;y<123;y++){;}

}

}
void main(void)
{
while(1)
{
red=0;green=1;yellow=1;delayms(4000);
red=1;green=1;yellow=0;delayms(2000);
red=1;green=0;yellow=0;delayms(1000);
red=1;green=0;yellow=1;delayms(4000);
}

}
汇编程序

ORG 0000H
AJMP MAIN
ORG 0030H
MAIN: CLR P1.4
SETB P1.5
SETB P1.6
MOV R0,#4
DELAY1: LCALL DEL
DJNZ R0,DELAY1
CLR P1.6
SETB P1.4
SETB P1.5
MOV R0,#2
DELAY2: LCALL DEL
DJNZ R0,DELAY2
CLR P1.5
CLR P1.6
SETB P1.4
MOV R0,#1
DELAY3: LCALL DEL
DJNZ R0,DELAY3
CLR P1.5
SETB P1.4
SETB P1.6
MOV R0,#4
DELAY4: LCALL DEL
DJNZ R0,DELAY4
AJMP MAIN

DEL: MOV R1,#100
DEL1: MOV R2,#100
DEL2: MOV R3,#50
DEL3: DJNZ R3,DEL3
DJNZ R2,DEL2
DJNZ R1,DEL1
RET

实验1的原理图:
XTAL218XTAL119ALE30EA31PSEN29RST9P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD3
36
P0.4/AD4
35

P0.5/AD5
34

P0.6/AD633P0.7/AD732P1.0/T21P1.1/T2EX2P1.23P1.34P1.45P1.56P1.67P1.78P3.0/RXD10P3.1/TXD11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD17P3.6/WR16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1AT89C52D1LED-REDD2LED-GREEND3LED-YELLOWR11kR21kR31k+5v11.0592MCRYSTALC130pFC230pFC322uFR4200R51k+5v

相关文档
最新文档