微机原理及接口技术实验指导书2013本(SH51-Keil版)讲解

微机原理及接口技术实验指导书2013本(SH51-Keil版)讲解
微机原理及接口技术实验指导书2013本(SH51-Keil版)讲解

微机原理及接口技术实验指导书

北京联合大学机电学院

2013年4月

目录

实验指南

GCMCU单片机实验箱简介

Keil集成开发环境使用简介

实验一、数据传送及输入输出接口实验实验二、常用数据处理程序设计

实验三、外部中断实验

实验四、定时器/计数器的应用

实验五、机电设备控制实验

实验六、LED显示器实验

实验七、模/数转换实验

实验八、串行通讯实验

附录I、预习报告格式

附录II、实验报告格式

实验指南

千里之行,始于足下。如果你想成为一个优秀的应用型性工程技术人员首先应该重视实验课程,重视实验过程。养成良好的工作作风和习惯。

为了使实验安全、可靠、准确地进行,为了避免造成实验失误,实验之初应该仔细地了解你所使用设备的各种性能和要求,认真思考。绝不可草率从事或操之过急马马虎虎。应该做到细心、耐心,逐渐培养严肃认真,一丝不苟的工作作风。请注意以下几点:

1.根据授课教师给出的时间范围,到实验室申请实验时间。

2.实验之前认真阅读实验指导书,严格按照实验内容和实验要求撰写预习报告,设计实验程序。

3.使用各种实验设备之前,必须了解测量所用的范围、额定值。应将输出量程置于最小,输入量程置于最大。

4.熟悉操作设备的各项功能和作用,做到心中有数,如有疑问应立即向教师提出。

5.实验时严格按照实验要求和实验步骤进行实验。

6.连接线路后应仔细检查,确保无误后才能开启电源。

7.各种开关不能用力硬扳,各种接插头不得硬插,各种连线应避免拉扯使用。

8.特别注意各输出引线(尤其是电源输出引线),不要与地或通过机壳造成短路。

9.实验过程中应仔细观察、记录各种状态的微小变化。

10.实验结束后应先将电源关闭,再撤除连线。清理实验台后才能离开。要做到有始有终。

11.实验完成后认真编写实验报告,不要就事论事,应该对实验结果深入进行分析讨论,提出自己的看法和评价。

GCMCU单片机实验箱简介

GCMCU通用单片机实验开发平台是一种可以应用用于多种单片机实验的实验装置。用户可以根据自己的需要换用不同的单片机核心板来完成相应的单片机学习实验、系统开发等。

下图为GCMCU单片机实验箱主板的功能资源分布图。

图2.1 GCMCU主板功能分区

单片机实验开发装置的各类单元电路按功能被分为了一个一个的小区,每个小区的电路自成一体,并用插针引出必要的控制信号线。用户在使用的时候可以利用飞线将单片机核心板于功能电路之间、功能电路与功能电路之间进行连接,通过这种灵活的连接方式来实现用户需要的电路系统的搭建。

为了方便用户利用示波器、逻辑分析调试电路,单片机实验箱主板上功能电路的控制信号线均采用“双接口”设计,所有的接口信号都“一式两份”,一组可以用来搭建功能电路,另外一组可以用来连接到测量仪器,来对该通路上的信号波形进行测量和监视,方便用户使用。

Keil集成开发环境使用简介

1.建立工程

打开PC机电源,双击桌面上的Keil图标进入Keil集成开发环境,点击菜单栏中的“工程”菜单,在下拉菜单中选择“新建工程”命令,建立一个新的工程项目文件,为工程取个名字(应便于记忆,名字不要太长);选择工程存放的路径。工程建立后,Keil会立即弹出一个选择单片机型号的对话窗口,用于选择一个51单片机的型号,建议选择“Atmel”选项中的“AT89S52”,接下来会弹出一个对话框,询问用户是否添加启动代码,无论用户使用汇编语言还是C语言,一般选择“否”。如果用户用到了某些增强功能需要初始化配置时,则可以选择“是”。到此,一个空的新工程就建立完毕。

2.建立保存文件

点击菜单栏中的“文件”菜单,在下拉菜单中选择“新建文件”命令,则在Keil的集成开发环境的主窗体中出现一个空白的文件编辑窗口;在这个窗口中就可以输入、编辑源程序了;在集成开发环境工具栏中点击的“保存”命令,在弹出的保存对话框中,为该程序源文件起个名字,例如以“D1.asm”为名,注意:一定不要忘记文件的扩展名,也就是使用汇编语言编写程序的用户,在保存文件时必须输入文件的后缀名“.asm”,然后点击“保存”。

3.添加文件

点击Keil的集成开发环境的主窗体中左边窗口中的“目标1”前面的“+”,出现“源组1”,选中“源组1”,点击鼠标右键,从下拉菜单中点击“添加文件到组‘源组1’”选项,在弹出的对话框中,选择文件类型为“All files”,再选中D1.asm文件,再点击“添加”,然后关闭对话框,此时文件就添加完成了。

4.设置选项

点击菜单栏中的“工程”选项,在下拉菜单中选择“为目标‘目标1’设置选项”,在弹出的对话框中,先打开“输出”标签页,选中“生成HEX文件”项,然后“确定”,再打开“调试”标签页,在此调试页可设定此次调试是使用软件仿真还是使用仿真器仿真。

若要使用仿真器仿真,则要选中右半边圆圈点击,并点选使用“W A VE V series MCS51 Driver”仿真器,同时勾选全部选项;然后点击“设置”按钮,在弹出的“仿真器设置”

“12000000”;对话框中,选择仿真器“SH51”,选择CPU “A T89S52”,手工输入晶体频率(Hz)

同时打开“仿真头设置”对话框,勾选“P0、P2口仅做为I/O使用”和“时钟输出端XATL2输出低”;点击“好”,确认设置完成退出。这样就可以进行硬件仿真了。

5.编辑、编译源程序

文件编辑窗口输入、编辑完源程序后,保存到磁盘上。点击编译工具栏中有两个向下小箭头的图标对源程序进行编译(或按F7快捷键),编译成功后,会在左下方的信息输出窗口中出现“正在汇编……,……,0错误,0警告”,表示源程序没有错误,没有警告,可以运行调试了。若编写的源程序有错误,则在左下方的信息输出窗口中有错误报告出现,双击错误行,会在文件编辑窗口定位到出错的位置,将错误改正后,再进行汇编,直至编译成功。

6.调试运行程序

直接点击调试快捷图标(或Ctrl+F5),进入调试模式,在调试和运行工具栏中可采用“全速运行”-F5键、“单步跟踪运行”-F11键、“单步运行”-F10、运行到当前行、“设置断点运行”等方式调试运行程序。

实验一、数据传送及输入输出接口

1、实验目的

1.1 掌握51单片机数据传送指令;

1.2 熟悉51单片机汇编语言程序设计方法;

1.3 掌握Keil 集成开发环境的使用;

1.4 练习51单片机汇编语言程序的编辑、汇编和模拟调试。

2、实验内容

2.1 Keil集成开发环境练习

通过运行下列练习程序学习Keil集成开发环境的使用

练习程序1:

ORG 0

MOV SP,#60H

MOV 30H,#0AAH

MOV DPTR,#0100H

MOV A,#55H

MOVX @DPTR,A

MOV R0,#30H

MOV A,@R0

PUSH ACC

MOV DPTR,#0100H

MOVX A, @DPTR

MOV 40H,30H

MOV @R0,A

POP ACC

MOVX @DPTR,A

MOV R5,40H

MOV R6,30H

SJMP $

END

练习程序2:

ORG 0

MOV SP,#60H

MOV P1,#0FFH

MOV R0,#40H

MOV R2,#10

LOOP1: MOV A,P1

MOV @R0,A

INC R0

DJNZ R2,LOOP1

MOV R0,#40H

MOV R2,#10

LOOP2: MOV A,@R0

MOV P2,A

INC R0

DJNZ R2,LOOP2

SJMP $

END

2.2 数据传送类程序设计

1)设计数据区清零程序,将片内RAM 20H~5FH单元清零。

2)设计数据块传送程序,将片内RAM 30H~3FH单元的数据顺序传送到片内RAM 50H~5FH单元。

3)设计数据块传送程序,将片内RAM50H~5FH单元的数据顺序传送到片外RAM 1000H~100FH单元。

4)自行设计能完成一定数据传送功能的程序。

3、参考程序流程图

见图1-1和图1-2。

4、实验步骤

4.1 实验前根据实验内容要求,参考程序流程图设计汇编语言源程序,撰写实验预习报告。

4.2 运行练习程序。

4.2.1练习程序1

在PC机上,启动Keil集成开发环境,建立一个新的工程;建立保存文件;添加文件;在文件编辑窗口输入、编辑练习程序1。在“为目标‘目标1’设置选项”时,在调试页选择此次调试是使用软件仿真;编译建后,点击调试快捷图标即可运行调试程序,用单步跟踪运行-F11键运行程序。运行前观察DPTR、累加器A、SP、工作寄存器、内部RAM30H、40H单元和外部RAM0100H单元的值,运行程序后再重新观察上述寄存器和存储单元内容的变化,记录每条指令的运行结果,说明该程序的功能。

4.2.2 练习程序2

1)接线

⑴使用8排导线将RC51核心板单片机的P2口的P2.0~P2.7与主板上J4口的LED1~

LED8发光二极管相连接;

⑵使用8排导线将GC51核心板单片机的P1口的P1.0~P1.7与主板上J1口的SW1~SW8

拨动开关相连接。

⑶连接仿真器与PC机的连线,接通实验箱的电源。

2)输入程序

在PC机上,启动Keil集成开发环境,建立一个新的工程;建立保存文件;添加文件;在文件编辑窗口输入、编辑练习程序2。在“为目标‘目标1’设置选项”时,在调试页选择此次调试是使用仿真器仿真,点击设置键设置参数,按OK键退出。编译后调试程序。3)调试程序

点击调试快捷图标运行、调试程序,用单步跟踪运行-F11键单步运行程序。每执行一条指令观察相应寄存器、或存储单元、或I/O口的状态,在执行MOV A,P1指令之前,随意拨动SW1~SW8开关,记录每条指令的运行结果,说明该程序的功能。

4.3调试数据传送程序

编辑数据传送程序1),编译后,点击调试快捷图标启动调试命令,运行、调试程序。学习用单步跟踪运行-F11键、全速运行-F5键、设置断点运行等方式运行程序。检查片外RAM现场和相关寄存器的内容,记录每条指令的运行结果。

编辑数据传送程序2),编译后,点击调试快捷图标启动调试命令运行、调试程序,在片内RAM 30H区放置16个数,依次为11H、22H、33H、44H、55H、66H、77H、88H、99H、0AAH、0BBH、0CCH、0DDH、0EEH、0FFH, 00H,运行程序。检查片内RAM现场和相关寄存器的内容,记录每条指令的运行结果。

编辑数据传送类程序3),编译后,点击调试快捷图标启动调试命令运行、调试程序,

微机原理实验指导书

微机原理及应用实验指导书 南京理工大学机械工程学院 2011年10月10日

实验1 基本操作实验 1. 实验目的 (1) 掌握TD-PITC 80X86 微机原理及接口技术教学实验系统的操作,熟悉Wmd86联机集成开发调试软件的操作环境。 (2) 掌握使用运算类指令编程及调试方法; (3) 掌握运算类指令对各状态标志位的影响及其测试方法; (4) 学习使用软件监视变量的方法。 2. 实验设备 PC机一台,TD-PITC 实验装置一套。 3. 实验内容及步骤 通过对样例程序的操作,学会在TD-PITC境下,如何输入汇编语言程序,如何进行汇编语言源程序的汇编、连接、下载和运行;在调试程序的学习过程中,应学会: ●如何设置断点; ●如何单步运行程序; ●如何连续运行程序; ●怎样查看寄存器的内容; ●怎样修改寄存器的内容; ●怎样查看存储器的内容; ●怎样修改存储器的内容。 3.1 实验内容1――――BCD码转换为二进制数 实验内容: 将四个二位十进制数的BCD 码存放于3500H 起始的内存单元中,将转换的二进制数存入3510H 起始的内存单元中,自行绘制流程图并编写程序。 参考实验程序清单如下: SSTACK SEGMENT STACK DW 64 DUP(?) SSTACK ENDS CODE SEGMENT ASSUME CS:CODE START: XOR AX, AX MOV CX, 0004H MOV SI, 3500H MOV DI, 3510H A1: MOV AL, [SI] ADD AL, AL MOV BL, AL

ADD AL, AL ADD AL, AL ADD AL, BL INC SI ADD AL, [SI] MOV [DI], AL INC SI INC DI LOOP A1 A2: JMP A2 CODE ENDS END START 实验步骤: 1)运行Wmd86 软件,进入Wmd86 集成开发环境。 2)根据程序设计使用语言的不同,通过在“设置”下拉列表来选择需要使用的语言,如图1-1所示。语言选择后,下次再启动软件,语言环境保持这次的修改不变。在这里,我们选择汇编语言。 图1-1 语言环境选择界面 3)语言选择后,点击新建或按Ctrl+N 组合键来新建一个文档,如图1-2所示。默认文件名为Wmd861。 图1-2 新建文件界面 4)编写实验程序,如图1-3所示,并保存,此时系统会提示输入新的文件名,输完后点击保存。

单片机实验指导书

《单片机原理与应用》 实验指导书 注意: 1、做实验前必须预习 2、带教材和实验指导书 理工大学 自动化学院自动化系

实验仪的使用 本实例是仿真INTEL的8031单片机,来循环点亮P1口的发光二极管(低电平有效)。程序是用汇编语言来编写。下面介绍相应的操作步骤: 1、运行桌面“星研集成软件”,画面如下: 2、建立源文件 执行 [主菜单?文件?新建],(或者点击图标)打开窗口。 选择存放源文件的目录,输入文件名,注意:一定要输入文件名后缀。对源文件编译、连接、生成代码文件时,系统会根据不同的扩展名启动相应的编译软件。比如:.ASM文件,使用A51来对它编译。本实 例文件名为xunhuan.asm 。窗口如下: 按“确定”即可。然后即出现文件编辑窗口: 输入源程序,参照实验一源程序。 .专业DOC.

这样一个源文件就建立好了。 3.编译、连接文件 首先选择一个源文件,然后可以编译、连接文件了。对文件编译,如果没有错误,再与库文件连接,生成代码文件(DOB、HEX文件)。编译、连接文件的方法有如下二种:(1)使用[ 主菜单?项目?编译、连接 ]或[主菜单?项目?重新编译、连接]”。(2)点击图标或来“编译、连接”或“重新编译连接”。编译、连接过程中产生的信息显示在信息窗的“建立”视中。编译没有错误的信息如下: 若有错误则出现如下信息框: 有错误、警告信息,用鼠标左键双击错误、警告信息或将光标移到错误、警告信息上,回车,系统自动打开对应的出错文件,并定位于出错行上。 这时用户可以作相应的修改,直到编译、连接文件通过。 4.调试 编译、连接正确后,可以开始调试程序。进入调试状态方法有: a)执行[ 主菜单?运行?进入调试状态] b)点击工具条的进入后的窗口如下:

C8051F021单片机实验指导

提要:实验项目 1、单片机的IO编程 实验1 IO开关量输入实验 实验2 IO输出驱动继电器(或光电隔离器)实验 实验3 IO输入/输出------半导体温度传感器DS18B20实验2、单片机的中断系统 实验1 外部外部中断----脉冲计数实验 3、单片机的定时器/计数器 实验1 计数器实验 实验2 秒时钟发生器实验 4、单片机的串口特点和编程 实验1 P C机串口通讯实验 实验2 R S485通讯实验 5、存储器 实验1 RAM存储器读写实验 6、PWM发生器 实验1 PWM发生器(模拟)实验 实验1 PWM发生器(内部)实验 7、WDG看门狗 实验1 外扩WDG(MAX813)实验 实验2 WDG(内部)实验

8、SPI总线 实验1 SPI(模拟)实验-----TLC2543 AD转换实验 实验2 SPI(模拟)实验-----TLV5616 DA转换实验 9、I2C总线 实验1 I2C(模拟)实验-----AT24C01读写实验 实验2 I2C(内部)实验-----AT24C01读写实验 10、综合实验 实验1 HD7279LED数码管显示实验 实验2 HD7279键盘实验 实验3 外部中断---电机转速显示实验 11、步进电机正反转实验 12、TFT液晶显示彩色条纹实验 13、16X16LED点阵显示汉字实验 一、单片机的IO编程 实验1 IO开关量输入实验 目的:学习单片机读取IO引脚状态的的方法。 内容:编程读取IO引脚状态。 设备:EL-EMCU-I试验箱、EXP-C8051F021 CPU板。 编程:首先要把相关的引脚设置在IO的输入状态,然后写一个循环,不停地检测引脚的状态。 步骤: 1、将CPU板正确安放在CPU接口插座上, 2、连线:用导线将试验箱上MCU部分的IO1--- IO8分别连接到SWITCH 的8个拨码开关的K1---K8的输出端子K1---K8上,连接好仿真器。

微机原理及应用实验(题目)

微机原理及应用实验 实验一开发环境的使用 一、实验目的 掌握伟福开发环境的使用方法,包括源程序的输入、汇编、修改;工作寄存器内容的查看、修改;内部、外部RAM内容的查看、修改;PSW中个状态位的查看;机器码的查看;程序的各种运行方式,如单步执行、连续执行,断点的设置。二、实验内容 在伟福开发环境中编辑、汇编、执行一段汇编语言程序,把单片机片内的 30H~7FH 单元清零。 三、实验设备 PC机一台。 四、实验步骤 用连续或者单步的方式运行程序,检查30H-7FH 执行前后的内容变化。五、实验思考 1.如果需把30H-7FH 的内容改为55H,如何修改程序? 2.如何把128B的用户RAM全部清零? 六、程序清单 文件名称:CLEAR.ASM ORG 0000H CLEAR: MOV R0,#30H ;30H 送R0寄存器 MOV R6,#50H ;50H 送R6寄存器(用作计数器) CLR1: MOV A,#00H ;00 送累加器A MOV @R0,A ;00 送到30H-7FH 单元 INC R0 ;R0 加1 DJNZ R6,CLR1 ;不到50H个字节,继续 WAIT: LJMP WAIT END 实验二数据传送 一、实验目的 掌握MCS-51指令系统中的数据传送类指令的应用,通过实验,切实掌握数据传送类指令的各种不同的寻址方式的应用。 二、实验内容 1.编制一段程序,要求程序中包含7中不同寻址方式。 2.编制一段程序,将片内RAM30H~32H中的数据传送到片内RAM38H~3AH中。 3.编制一段程序,将片内RAM30H~32H中的数据传送到片外RAM1000H~1002H 中。 4.编制一段程序,将片内RAM40H~42H中的数据与片外RAM2000H~2002H中的数据互换。 三、实验设备 PC机一台。

单片机实验指导书

实验一KEIL 51软件实验 实验目的: 1、掌握KEIL集成开发环境的使用 2、掌握算术运算程序 实验设备:计算机、KEIL51软件 实验内容: 编程实现把片人RAM30H单元和40H单元两个16字节数相加,结果放于30H单元开始的位置处。在KEIL51编译、连接、仿真调试。 实验步骤: 一、运行KEIL51软件,出现图1所示KEIL 51主界面。 图1 KEIL 51主界面 首先用Project菜单下的New Project命令建立项目文件,过程如下。 (1) 选择Project菜单下的New Project命令,弹出如图2所示的Create new Project对话框。 图2 Create New Project对话框 (2) 在Create New Project对话框中选择新建项目文件的位置(最好一个项目建立一个文件夹如E:\project), 输入新建项目文件的名称,例如,项目文件名为example,单击【保存】按钮将弹出如图3所示的Select Device for Target ‘Target 1’对话框,用户可以根据使用情况选择单片机型号。Keil uVision2 IDE几乎支

持所有的51核心的单片机,并以列表的形式给出。选中芯片后,在右边的描述框中将同时显示选中的芯片的相关信息以供用户参考。 图3 Select Device for Target ‘Target 1’对话框 (3) 这里选择atmel公司的AT89c51。单击【确定】按钮,这时弹出如图4所示的Copy Standard 8051 Startup Code to Project Folder and Add File to Project确认框,C语言开发选择【是】,汇编语言开发选择【否】。 单击后,项目文件就创建好了。项目文件创建后,在主界面的左侧的项目窗口可以看到项目文件的内容。 这时只有一个框架,紧接着需向项目文件中添加程序文件内容。 图4 Copy Standard 8051 Startup Code to Project Folder and Add File to Project确认框 二、给项目添加程序文件 当项目文件建立好后,就可以给项目文件加入程序文件了,Keil uVision2支持C语言程序,也支持汇编语言程序。这些程序文件可以是已经建立好了的程序文件,也可以是新建的程序文件,这里我们新建的汇编程序文件后再添加。 (1) 选择文件菜单上的new命令,出现新建文本窗口,如图5所示。

单片机原理及其接口技术实验报告

单片机原理及其接口技术实验指导书 实验1 Keil C51的使用(汇编语言) 一.实验目的: 初步掌握Keil C51(汇编语言)和ZY15MCU12BD型综合单片机实验箱的操作和使用,能够输入和运行简单的程序。 二.实验设备: ZY15MCU12BD型综合单片机实验箱一台、具有一个RS232串行口并安装Keil C51的计算机一台。 三.实验原理及环境: 在计算机上已安装Keil C51软件。这个软件既可以与硬件(ZY15MCU12BD型综合单片机实验箱)连接,在硬件(单片机)上运行程序;也可以不与硬件连接,仅在计算机上以虚拟仿真的方法运行程序。如果程序有对硬件的驱动,就需要与硬件连接;如果没有硬件动作,仅有软件操作,就可以使用虚拟仿真。 四:实验内容: 1.掌握软件的开发过程: 1)建立一个工程项目选择芯片确定选项。 2)加入C 源文件或汇编源文件。 3)用项目管理器生成各种应用文件。 4)检查并修改源文件中的错误。 5)编译连接通过后进行软件模拟仿真。 6)编译连接通过后进行硬件仿真。 2.按以上步骤实现在P1.0输出一个频率为1Hz的方波。 3.在2的基础上,实现同时在P1.0和P1.1上各输出一个频率同为1Hz但电平状态相反的方波。 五:程序清单: ORG 0000H AGAIN:CPL P1.0 MOV R0,#10 ;延时0.5秒 LOOP1:MOV R1,#100 LOOP2:MOV R2,#250 DJNZ R2,$ DJNZ R1,LOOP2 DJNZ R0,LOOP1 SJMP AGAIN END 六:实验步骤: 1.建立一个工程项目选择芯片确定选项 如图1-1所示:①Project→②New Project→③输入工程名test→④保存工程文件(鼠标点击保存按钮)

微机原理及应用实验

实验报告1 实验项目名称:I/O地址译码;简单并行接口同组人: 实验时间:实验室:微机原理实验室K2-407 指导教师:胡蔷 一、实验目的: 掌握I/O地址译码电路的工作原理,简单并行接口的工作原理及使用方法。 二、预备知识: 输入、输出接口的基本概念,接口芯片的(端口)地址分配原则,了解译码器工作原理及相应逻辑表达式,熟悉所用集成电路的引线位置及各引线用途;74LS245、74LS373的特性及作用。 三、实验内容245输入373输出 使用Protues仿真软件制作如下电路图,使用EMU8086编译软件编译源程序,生成可执行文件(nn . exe),在Protues仿真软件中加载程序并运行,分析结果。 编程实现:读8个开关的状态,根据输入信号控制8个发光二极管的亮灭。 图1-1 245输入373输出 四、程序清单

五、实验结果 六、结果分析 七、思考题: 1、如果用74LS373作输入接口,是否可行?说明原因;用74LS245作输出接口,是否可行?说明原因。

实验报告2 实验项目名称:可编程定时器/计数器;可编程并行接口同组人: 实验时间:实验室:微机原理实验室K2-407 指导教师:胡蔷 一、实验目的: 掌握8253的基本工作原理和编程应用方法。掌握8255的工作原理及使用方法。 二、预备知识: 8253的结构、引脚、控制字,工作方式及各种方式的初始化编程及应用。 8255的内部结构、引脚、编程控制字,工作方式0、1、2的区别,各种方式的初始化编程及应用。 三、实验内容: ⑴8253输出方波 利用8253的通道0和通道1,设计产生频率为1Hz的方波。设通道0的输入时钟频率为2MHz,8253的端口地址为40H,42H,44H,46H。通道0的输入时钟周期0.5μs,其最大定时时间为:0.5μs×65536 = 32.768ms,要产生频率为1Hz(周期= 1s)的方波,利用;一个通道无法实现。可用多个通道级连的方法,将通道0的输出OUT0作通道1的输入时钟信号。设通道0工作在方式2(频率发生器),输出脉冲周期= 10 ms,则通道0的计数值为20000(16位二进制)。周期为4 ms的脉冲作通道1的输入时钟,要求输出端OUT1输出方波且周期为1s,则通道1工作在方式3(方波发生器),计数值为100(8位;二进制)。硬件连接如图2-1。

Freescale单片机实验指导书2016

Freescale单片机实验指导书 物理与电子工程学院

目录 实验一飞思卡尔嵌入式实验系统入门—GPIO控制实验 (3) 实验二串口通信(SCI)实验 (6) 实验三键盘中断及LED数码块实验 (11) 实验四定时器输入捕捉与输出比较功能实验............................................. 错误!未定义书签。实验五AD转换与PWM综合实验 .............................................................. 错误!未定义书签。

实验一飞思卡尔嵌入式实验系统入门—GPIO控制实验 一、实验目的: 熟悉CodeWarrior集成开发环境及飞思卡尔嵌入式实验开发系统 掌握AW60的GPIO结构及控制方法 掌握CodeWarrior工程结构及创建汇编工程 熟悉汇编指令及应用汇编语言编程方法 二、实验内容 1.运用CodeWarrior新建工程,进行工程程序编辑、编译、下载、调试 2.利用飞思卡尔嵌入式实验开发系统根据实验需要进行硬件连接 3.根据连接在PTD口上的两个拨动开关的状态,控制接中PTB口上的八个LED处在以下四种不同状态:八个LED亮—灭循环;四个灯交替亮—灭;一个LED左移流水灯;两个LED右移流水灯。 实验接线原理图

图1-1 I/O 口实验接线图 三、实验步骤 1.将飞思卡尔嵌入式实验开发系统实验箱接上电源,写入器BDM 接头插接入核心卡BDM 座,USB 头接入PC 机USB 口。 2.PC 机上启动CodeWarrior ,新建工程LED.mcp(注意设置工程保存路径) 3.观察工程文件结构,查看相应文件。 4.在main.asm 中编辑工程主文件 5.编辑相关子程序 6.编译,如果有错误修改,直至编译通过 7.链接、下载,调试观察LED 灯现象 四、思考题 1.CodeWarrior 建立工程有什么工程框架文件,这些文件的作用? 2.嵌入式开发系统有哪些主要元器件? 3.如果采用模块化设计方法,将系统初始化、LED 灯控制、延时程序等各自形成文件, GND PTB 口 Vcc

C51单片机实验指导书

单片机原理与接口技术实验讲义 目录 第一章开发环境安装使用说明 (3) 第二章基于51单片机系统资源实验 (12)

实验1 IO开关量输入实验 (12) 实验2 IO输出驱动继电器(或光电隔离器)实验 (13) 实验3 IO输入/输出---半导体温度传感器DS18B20实验 (14) 实验4 外部中断----脉冲计数实验 (15) 实验5 计数器实验 (16) 实验6 秒时钟发生器实验 (17) 实验7 PC机串口通讯实验 (18) 实验8 RS485通讯实验 (19) 实验9 PWM发生器(模拟)实验 (20) 实验10 蜂鸣器实验 (21) 第一章开发环境安装使用说明 一、KeilC51集成开发环境的安装 1.Keil u Vision2的安装步骤如下

将安装文件拷贝到电脑根目录下,然后双击图标,如图1-1所示:注意:去掉属性里的只读选项。 图1-1 启动安装环境对话框 2.选择Eval Version。然后一直next直至安装完成,如图1-2所示: 二.在Keil uVision2中新建一个工程以及工程配置 1.打开Keil C环境,如图1-3所示。

图1-3打开工程对话框 2.新建工程或打开工程文件:在主菜单上选“Project”项,在下拉列表中选择“New Project”新建工程,浏览保存工程文件为扩展名为“.Uv2”的文件。或在下拉列表中选择“Open project”打开已有的工程文件。如 图1-4所示: 图1-4 新建工程 3.环境设置:新建工程文件后,在工具栏中选择如下图选项设置调试参数及运行环境,或从主菜单“Project”项中 选择“Options for Target ‘Target1’”,打开如下图1-5设置窗口。

80x86微机原理与接口技术实验指导书

80x86微机原理与接口技术 实验指导书 长安大学信息工程学院电子信息与通信工程实验室

第1章 80X86 微机原理及其程序设计实验 本章主要介绍汇编语言程序设计,通过实验来学习80X86 的指令系统、寻址方式以及程序的设计方法,同时掌握联机软件的使用。 1.1 系统认识实验 1.1.1 实验目的 掌握TD-PITE 80X86 微机原理及接口技术教学实验系统的操作,熟悉Wmd86联机集成开发调试软件的操作环境。 1.1.2 实验设备 PC机一台,TD-PITE 实验装置一套。 1.1.3 实验内容 编写实验程序,将00H~0FH 共16 个数写入内存3000H 开始的连续16 个存储单元中。 1.1.4 实验步骤 1. 运行Wmd86 软件,进入Wmd86 集成开发环境。 2. 根据程序设计使用语言的不同,通过在“设置”下拉列表来选择需要使用的语言,如图1-1-1所示。语言选择后,下次再启动软件,语言环境保持这次的修改不变。在这里,我们选择汇编语言。 图1-1-1 语言环境选择界面 3. 语言选择后,点击新建或按Ctrl+N 组合键来新建一个文档,如图1-1-2所示。默认文件名为Wmd861。 图1-1-2 新建文件界面 4. 编写实验程序,如图1-1-3所示,并保存,此时系统会提示输入新的文件名,输完后

点击保存。 图1-1-3 程序编辑界面 5. 点击,编译文件,若程序编译无误,则输出如图1-1-4所示的输出信息,然后再点击进行链接,链接无误输出如图1-1-5所示的输出信息。 图1-1-4 编译输出信息界面图1-1-5 链接输出信息界面 6. 连接PC与实验系统的通讯电缆,打开实验系统电源。 7. 编译、链接都正确并且上下位机通讯成功后,就可以下载程序,联机调试了。可以通过端口列表中的“端口测试”来检查通讯是否正常。点击下载程序。为编译、链 接、下载组合按钮,通过该按钮可以将编译、链接、下载一次完成。下载成功后,在输出区的结果窗中会显示“加载成功!”,表示程序已正确下载。起始运行语句下会有一条绿色的背景。如图1-1-6所示。

微机原理及应用实验报告81487

实 验 报 告 课 程 名 称 实 验 名 称 实 验 日 期 学 生 专 业 学 生 学 号 学 生 姓 名 教 师 姓 名 成 绩 南京理工大学机械工程学院 微机原理及应用 实验1 DEBUG 操作实验 实验2 汇编程序设计实验 实验3 8253定时/计数器实验 实验4 8255 并行接口实验 年 月 日 至 年 月 日

实验一DEBUG命令及其操作 一、实验目的 1.熟练掌握debug的常用命令,学会用debug来调试程序。 2.深入了解数据在存储器中的存取方法及堆栈中数据的压入与弹出。 3.掌握各种寻址方法以及简单指令的执行过程。 二、实验要求 1.实验前要作好充分准备,包括汇编程序清单、调试步骤、调试方法以及对程序结果的分析等。 2.本实验要求在PC机上进行。 3.本实验只要求在DEBUG调试状态下进行,包括汇编程序,调试程序,执行程序。三、实验内容 ●实验内容1:学习用DEBUG调试工具进行源程序的输入、汇编、调试和执行。 实验报告要求: 1.写出运行结果; 2.小结debug常用命令及使用体会 答:1.原文已给出(略) 2.a 汇编 d显示内存单元内容 e修改单元内存内容 g执行命令 t单步(或多步)调试 n指定文件路径文件名(含扩展名) u反汇编 r查看寄存器值及修改 l加载程序 w写盘命令 体会:提升学习热情和动手能力有助于理解代码执行过程 ●实验内容2 设AX=3000H,BX=5000H,请编一程序段将AX的内容和BX的内容进行交换。请用堆栈作为两寄存器交换内容的中间存储单元,用DEBUG调试程序进行汇编与调试。 实验报告要求: 1.写出完成上述功能的程序段; 2.单步执行,并记录寄存器AX, BX及堆栈指针SP的值 答: A100 Mov ax,3000 ;ax:3000 bx:0000 sp:ffee Mov bx,5000 ;ax:3000 bx:5000 sp:ffee Push ax ;ax:3000 bx:5000 sp:ffec

单片机实验指导书

§4 单片机应用系统实验 §4.1 软件实验(使用软件仿真设置) 软件实验一数据传送实验 一、实验内容: 1.编写程序,将内部RAM中30H-5FH中的数据设置为55H。 2.编写程序,将内部RAM中30H-5FH中的内容传送到90H开始的内部RAM 中去。 3.编写程序,将内部RAM中30H-5FH中的内容传送到200H开始的外部RAM 中去(注意实际系统中为扩展的内部RAM)。 二、实验目的与要求: 1.学习与掌握针对不同区域RAM的操作方法。 2.学习与掌握指针的使用与循环程序的编写方法。 3.学习与掌握如何查看各个部分存储器的内容。 三、实验说明: 对于不同区域的RAM操作需要使用不同的寻址方式与指令。对于内部RAM 的低128字节,可以使用直接寻址也可以使用间接寻址;对于内部RAM的高128 字节,只能使用间接寻址;对于外部RAM,只能使用指针DPTR进行间接寻址。 对于数据块的操作一般使用循环程序完成。 编写程序并编译(build)检查语法错误。编译通过后进入调试环境,单步执行 程序检查程序的正确性。最后连续执行程序并检查RAM内容是否正确。 注意主程序的最后应该加一条SJMP $ 指令,以避免由于连续执行时无法停机 四、实验程序流程图: 五、思考题:如果源与目的数据块 均为外部RAM

软件实验二数据分类与校验实验 一、实验内容: 1.编写程序,将内部RAM中30H-5FH中的数据设置为1-48。 2.编写程序,将内部RAM中30H-5FH中的奇数传送到90H开始的内部RAM 中去。 3.编写程序,将内部RAM中30H-5FH中的数据按照奇校验设置最高位。二、实验目的与要求: 1.学习与掌握判断数据奇偶性的方法。 2.学习与掌握分支程序的编写方法。 3.学习与掌握如何给存储器中的数据增加校验位。 三、实验说明: 汇编语言中判断数据的奇偶性很简单,数据的最低位为1为奇数,否则为偶数。分支处理是程序设计中的基本技巧,是根据某一条件是否成立执行或不执行规定操作的方法。数据的奇偶校验不是判断数据的奇偶性,而是判断数据中有奇数个1还是偶数个1。如果是奇校验,则增加校验位后的数据应该具有奇数个1。 编写程序并编译(build)检查语法错误。编译通过后进入调试环境,单步执行程序检查程序的正确性。最后连续执行程序并检查RAM内容是否正确。 (传送奇数) 五、思考题: 1.如果只传送正数或负数应该如何编程? 2.如果只传送大于某个规定数值的数据应该如何编程?

《单片机与接口技术》实验指导书

《单片机与接口技术》实验指导书 本课程理论课程总课时48,其中实验16学时,计划做7个实验,加深对知识的理解与掌握。本课程实验所用设备有计算机、MPLAB-ICD软件硬件及实验装置。要求每个学生完成实验后写出实验报告,要求用学校专用实验报告用纸。 实验1 熟悉MPLAB-ICD软硬件开发环境 1.实验目的: 本实验旨在使学生熟悉MPLAB-ICD软硬件的使用,要求学生通过本实验,掌握MPLAB-ICD的应用,掌握汇编源程序的编辑录入,掌握项目的制作,计算机与开发器的硬件连接,演示板的使用,实验装置的应用,目标程序的下载等等,为后续实验打下必要的知识准备,同时完成指令系统的验证。具体参考教材第五章内容。 2.实验设备: PC机1台,MPLAB-IDE软硬件环境。 实验2 基本输入输出实验(循环显示实验) 1.实验目的: 练习基本I/O端口的编程应用方法 2.实验设备: PC机1台,MPLAB-ICD实验装置1套。 3.实验原理: 本实验实现的功能是,把端口RC的8条引脚全部设置为输出模式,依次从引脚RC0到RC7送出高电平,然后再依次从RC7到RC0送出高电平,从而使得与RC端口相连的8只发光二极管LED循环往复依次点亮。即依次循环点亮LED0→LED1→......LED7→全熄→LED7→LED6→......LED0→全熄→LED0。其效果类似于一个简单的霹雳灯。 4.实验电路与程序流程图:

5.参考实验程序: ;循环显示程序 LIST P=16F877 INCLUDE "P16F877.INC";源程序编写格式 flag EQU 0x24;定义循环变量flag ORG 0X00;单片机复位地址 Nop ;特定需要 CLRF PORTC; PORTC寄存器内容清零 bsf STATUS,RP0;STATUS寄存器的RPO位置位 movlw 00h ;将立即数00h传送至W寄存器 movwf TRISC ;将W寄存器的内容传送至TRISC寄存器 bcf STATUS,RP0 ;STATUS寄存器的STATUS位清零 movlw 01h ;将立即数01h传送至W寄存器 movwf PORTC;将W寄存器的内容传送至PORTC寄存器 bsf flag,0 ;flag寄存器的0位置位 bcf STATUS,C;STATUS寄存器的C位清零 loop btfss STATUS,C;测试STATUS寄存器内容的C位,为1则间跳goto loop1;无条件跳转至loop1处 comf flag,1;将flag寄存器内容取“反”后传送至f

微机原理实验指导书

微机原理实验指导书汕头大学机电系摘录

实验一P1口实验及延时子程序设计 1.实验目的 利用单片机的P1口作为I/O口进行实验验证,掌握利用P1口作为输入口和输出口的编程方法,理解并掌握延时子程序的设计方法。 2.实验设备及器件 IBM PC机一台 DP-51PRO单片机综合仿真实验仪一台 3.实验内容 (1)编写一段程序,用P1口作为控制端口,使D1区的LED轮流点亮。 (2)编写一段程序,用P1.0~P1.6口控制LED,P1.7控制LED的亮和灭(P1.7接按键,按下时LED 亮,不按时LED灭)。 图 1 4.实验要求 学会使用单片机的P1口作I/O口。如果时间充裕,也可以考虑利用P3口作I/O口来做该实验。 5.实验步骤 ①用导线把A2区的J61接口与D1区的J52接口相连。原理如图1所示。 ②先编写一个延时程序。 ③将LED轮流点亮的程序编写完整并调试运行。 ④使用导线把A2区J61接口的P1.0~P1.6与D1区J52接口的LED1~LED7相连,另外A2区J61接口的P1.7与D1区J53的KEY1相连。原理如图3.2(b)所示。 ⑤编写P1.7控制LED的程序,并调试运行(按下K1看是否全亮)。 ⑥A2区J61接口P1.7与D1区J54的SW1相连,然后再运行程序,拨动开关SW1查看结果。

6.实验预习要求 阅读附录内容,理解实验的硬件结构。可以先把程序编好,然后在Keil C51环境下进行软件仿真。 7.实验参考程序 程序1: ORG 8000H ;此为硬件仿真调试程序,使用软件仿真或直接运行,应改为0000H LJMP M ain ORG 8100H ;此为硬件仿真调试程序,使用软件仿真或直接运行,应改为0100H Main: MOV A,#0FFH CLR C MainLoop: CALL Delay RLC A MOV P1,A ;把A的值输出到P1口 SJMP MainLoop Delay: MOV R7, #0 ;延时 Loop: MOV R6, #0 DJNZ R6, $ DJNZ R6, $ DJNZ R6, $ DJNZ R7, Loop RET ; END 程序2: ORG 8000H ;此为硬件仿真调试程序,使用软件仿真或直接运行,应改为0000H LJMP Main ORG 8100H ;此为硬件仿真调试程序,使用软件仿真或直接运行,应改为0100H Main:JB P1.7,SETLED ;按键没有按下时,跳转到SETLED CLRLED: CLR P1.0 CLR P1.1 CLR P1.2 CLR P1.3 CLR P1.4 CLR P1.5 CLR P1.6 SJMP Main SETLED: SETB P1.0 SETB P1.1

单片机实验指导书

实验一8051简单编程与调试实验目的 通过简单小程序的输入和调试,熟悉并掌握Keil 的使用。学会Proteus与Keil的整合调试。 实验基本要求 建立三个项目,分别输入存储块清零、二进制BCD码及二进制ASCII码转换的汇编源程序,并进行仿真调试。画出实验程序的流程框图。 实验步骤 采用Keil Cx51 开发8051单片机应用程序一般需要经过下面几个步骤: 1、在 Vision2集成开发环境中创建一个新项目(Project),并为该项目选定合适的单片机CPU器件。 在菜单栏中选择“Project”→“New Project”,弹出“Create New Project”对话框,选择目标路径,在“文件名”栏中输入项目名后,单击“保存(S)”按钮,弹出“Selecte Device for Target”对话窗口。在此对话窗口的“Data base”栏中,单击“Atmel”前面的“+”号,或者直接双击“Atmel”,在其子类中选择“AT89C51”,确定CPU类型。如图所示。 点击“确定”按钮后,弹出如下的对话框

如果是进行汇编语言编程选择“否”。 2、利用μVision2的文件编辑器编写C语言(或汇编语言)源程序文件,并将文件添加到项目中去。一个项目可以包含多个文件,除源程序文件外还可以有库文件或文本说明文件。 在μVision2的菜单栏中选择“File”→“New”命令,新建文档,然后在菜单栏中选择“File”→“Save”命令,保存此文档,这时会弹出“Save As”对话窗口,在“文件名(N)”一栏中,为此文本命名,注意要填写扩展名“.asm”。单击“保存(S)”按钮,这样在编写汇编代码时,Keil会自动识别汇编语言的关键字,并以不同的颜色显示,以减少输入代码时出现的语法错误。程序编写完后,再次保存。 在Keil中“Project Workspace”子窗口中,单击“Target 1”前面的“+”号,展开此目录。在“Source Group 1”文件夹上单击鼠标右键,在右键菜单中选择“Add File to ‘Group Source 1’”,弹出“Add File to Group”对话窗口,在此对话窗口的“文件类型”栏中,选择“Asm Source File”,并找到刚才编写的.asm文件,双击此文件,将其添加到Source Group 中,此时“Project Workspace”子窗口如图所示。

单片机原理与应用实验指导书(学生部分)(2009.4修订)

单片机原理与应用实验指导书 2009年5月

第一章实验箱系统概述一、系统地址分配 (1),存贮器地址分配 (2),I/O地址分配 二、系统接口定义 (1),CZ11:用户实验通讯接口; (2),CZ4:打印接口;

(3),JX0,JX17为系统提供的数据总线接口 (4),CZ7:系统提供的扩展接口; (5),JX12、JX14:液晶显示接口 三、通用电路简介 (1)LED发光二极管指示电路:实验台上包括16只发光二极管及相应驱动电路。见图1-1,Ll—L16为相应发光二极管驱动信号输入端, 该输入端为低电平 “0”时发光二极管亮

图1-6 (2)逻辑电平开关电路:见图1-2。实验台上有8只开关Kl-K8, 与之相对应的K1-K8个引线孔为逻辑电平输出端。开关向上拨相应插孔输出高电平“l”, 向下拨相应插孔输出低电平“0”。 图1-7 (3)单脉冲电路:实验台上单脉冲产生电路如图1-3,标有“”和“”的两个引线插孔为正负单脉冲输出端。附近按钮AN为单脉冲产生按钮,每按一次产生一个单脉冲。 图1-8

(4)分频电路:该电路由一片74LS393组成,见图1-4。T0—T7为分频输出插孔。 该计数器在加电时由RESET信号清零。当脉冲输入为8.0MHZ时,T0—T7输出脉 冲频率依次为4.0MHZ,2.0MHZ,1.0MHZ,500KHZ,250KHZ,125KHZ,62500HZ,31250HZ。 图1-9 (5)脉冲发生电路:实验台上提供一8MHZ的脉冲源,见图1-5,实验台上标有8MHZ 的插孔,即为脉冲的输出端。 图1-10 (6)485接口电路: 图1-11

2013微机原理实验指导书

微机原理实验报指导书 实验一数据传送实验 1.实验名称实验一数据传送实验 2.实验目的 1、掌握微型计算机汇编软件调试汇编源程序的基本操作; 2、熟悉传送指令、简单运算类指令来编写汇编语言源程序; 3、掌握调试过程及实验结果分析; 3.实验仪器 微型计算机一台 4.实验原理或内容 1.数据段从3500H单元开始建立0---15共16个数据区,程序中见此段存入 数据00H,01H,02H---0AH---0FH,运行之,检查并记录结果。 2.将内存3500H开始的共10个单元内容传送到3600H单元开始的数据区中,运行之,记录结果。 5.实验步骤 1.启动Masm for Windows 集成实验环境2009.6。显示如下: 2.输入源程序 在模板处将程序完成,其中模板中段名可以自己修改定义,没有涉及的段可省略不写。 输入汇编语言源程序后,保存程序(规定扩展名为.asm)

3.汇编 编译/汇编成目标文件,单击运行菜单下“编译成目标文件(obj)”,等待系统汇编,若有错误,修改再编译,直至无错误为止。

4.连接 生成可执行文件。单击运行菜单下“生成可执行文件(exe)”,等待系统对汇编生成的.obj文件进行连接,生成相应的可执行文件。若有错误,修改错误重复3和4操作,直至无错误为止。

5.在DEBUG调试环境下进行程序的调试 1、反汇编。u回车 -u0000回车 2、单步运行。-T=0000回车 -T回车 3、连续运行。G=起始偏移地址结束偏移地址 4、内存单元内容显示。-Dds:3500回车 5、内存单元内容的修改。-EDS:3500回车.键入修改后内容再空格 完成后回车 6、查看修改寄存器。-R回车 -R跟寄存器名,显示寄存器内容:后可跟修改内容 -R IP 可查看修改IP值 7、退出debuf。Q命令 6.数据与结果 将运行结果记录于此处

微机原理及应用 上机实验报告2 数据传送

课程名称:_________微机原理及应用___________指导老师:_____钟崴_______成绩:__________________ 实验名称:_________数据传送___________实验类型:________________同组学生姓名:__________ 一、实验目的和要求(必填)二、实验内容和原理(必填) 三、主要仪器设备(必填)四、操作方法和实验步骤 五、实验数据记录和处理六、实验结果与分析(必填) 七、讨论、心得 一、实验目的和要求(必填) 掌握MCS-51指令系统中的数据传送类指令的应用,通过实验,切实掌握数据传送类指令的各种不同的寻址方式的应用。 二、实验内容和原理(必填) 1.编制一段程序,要求程序中包含7中不同寻址方式。 2.编制一段程序,将片内RAM30H~32H中的数据传送到片内RAM38H~3AH中。 3.编制一段程序,将片内RAM30H~32H中的数据传送到片外RAM1000H~1002H中。 4.编制一段程序,将片内RAM40H~42H中的数据与片外RAM2000H~2002H中的数据互换。 三、主要仪器设备(必填) PC机一台。 四、操作方法和实验步骤 逐段编制程序,汇编无误后,用连续或者单步的方式运行程序,检查程序的运行结果,看是否达到预期的效果。 五、程序清单 1. ORG 0000H CLEAR MOV R0,#30H ;间接寻址 MOV A,#40H ;立即寻址 MOV @R0,A ;间接寻址 MOV A,30H ;直接寻址 MOV DPTR,#0100H ;间接寻址 MOV A,#36H MOVX @DPTR,A MOV R0,#50H ;立即寻址 MOV A,#10 MOVC A,@A+DPTR ;变址寻址 END

《单片机系统设计》实验报告

短学期实验报告 (单片机系统设计) 题目: 专业: 指导教师: 学生姓名: 学号: 完成时间: 成绩:

基于单片机的交流电压表设计 目录 1系统的设计要求 (2) 2系统的硬件要求 (2) 2.1真有效值转换电路的分析 (2) 2.2放大电路的设计 (3) 2.3A/D转换电路的设计 (3) 2.4单片机电路的分析 (4) 2.5显示电路 (4) 3 软件设计 (5) 3.1 软件的总流程图 (5) 3.2 初始化定义与定时器初始化流程图 (5) 3.3 A/D转换流程图 (6) 3.4 数据处理流程图 (6) 3.5 数据显示流程图 (7) 4 调试 (7) 4.1 调试准备 (7) 4.2 关键点调试 (7) 4.3 测试结果 (8) 4.4 误差分析 (8) 5结束语 (8) 5.1 总结 (9) 5.2 展望 (9) 附录1 总原理图 (10) 附录2 程序 (10) 附录3 实物图 (14)

基于单片机的交流电压表设计 ****学院 ****专业 姓名 指导老师:******* 1 设计要求 (1)运用单片机实现真有效值的检测和显示。 (2)数据采集使用中断方式,显示内容为有效值与峰值交替进行。 2 硬件设计 本系统是完成一个真有效值的测量和显示,利用AD737将交流电转换成交流电压的有效值,用ADC0804实现模数转换,再通过单片机用数码管来显示。系统原理框图如图2-1所示。系统框图由真有效值转换电路、放大电路、A/D 转换电路、单片机电路、数码管显示电路五部分。 图2-1 原理框图 2.1 真有效值转换电路 真有效值转换电路主要是利用AD737芯片来实现真有效值直流变换的,即将输入的交流信号转换成直流信号的有效值,其原理图如图2-2所示。 图2-2 真有效值转换电路 由于AD737最大输入电压为200mV, 所以需要接两个二极管来限制输入电压,起到限幅的作用。如图中D1、D2,由IN4148构成,电容C6是耦合电容,电阻R1是限流电阻。 2.2 放大电路设计 放大电路主要是利用运放uA741来进行放大,电路原理图如图2-3所示。 A/D 转换 单片机 电路 显示 电路 转换 电路 交流 信号 放大 电路

微机原理与接口技术实验指导书1_[1]...

微机原理与接口技术实验指导书 编者:王亭岭 华北水利水电学院 电气学院自动化教研室 二零一二年三月

目录 实验一标志寄存器应用 (1) 实验二指令寻址方式练习 (4) 实验三分支结构程序设计 (6) 实验四循环结构程序设计 (7) 实验五子程序结构程序设计 (8) 实验六DOS系统功能调用程序设计 (10) 实验七BIOS中断调用程序设计 (12) 实验八定时器中断程序设计 (14)

实验一标志寄存器应用 一、实验目的与要求 1.掌握汇编程序的编译过程; 2.掌握8086的标志寄存器的特点。 二、实验内容 微型计算机(80x86系列)。 四、实验参考程序 DATA SEGMENT A DW 123 B DW 456 SUM DW ? DATA ENDS CODE SEGMENT ASSUME CS:CODE , DS:DATA START: MOV AX , DATA MOV DS , AX MOV AX , A SUB AX , B MOV SUM , AX MOV AH,4CH INT 21H CODE ENDS END START 五、实验步骤 步骤一、用鼠标单击开始菜单,单击运行。如下图所示:

步骤二、在运行窗口中键入cmd,回车进入命令提示符。如下图所示: 步骤三、在命令提示符中编译汇编源程序并连接*.obj文件。如下图所示:1.C:\>D: 回车 2.D:\ >CD MASM 回车 3.D:\MASM>MASM SY1.ASM 回车 4.D:\MASM>LINK SY1.OBJ 回车

步骤四、在命令提示行中运行并调试汇编程序。如下图所示:1.D:\MASM>SY1.EXE 回车 2.D:\MASM>DEBUG SY1.EXE 回车 六、实验结论 1.程序运行后变量SUM的值是多少? 2.程序运行前后标志寄存器的各标志位有何变化? 3.分析实验结果及所遇到的问题,并说明解决的方法。

相关文档
最新文档