数电仿真实验报告

数电仿真实验报告
数电仿真实验报告

数电仿真Multisim

班级:

学号:

姓名:

学院:

实验一组合逻辑电路设计与分析

一、实验目的

1、掌握组合逻辑电路的特点

2、利用逻辑转换仪对组合逻辑电路进行分析与设计

二、实验原理

组合逻辑电路是一种重要的数字逻辑电路:特点是任何时候的输出仅仅取决于同一时刻的输入信号的取值组合。

根据电路确定功能,是分析组合逻辑电路的过程,其步骤如下:组合逻辑电路→推导→逻辑表达式→化简→最简表达式→列表→真值表→分析→确定电路功能。

根据要求求解电路,是设计组合逻辑电路的过程,其步骤如下:问题提出→分析→真值表→归纳→逻辑表达式→化简变换→逻辑图。

逻辑转换仪是Multisim中常用的数字逻辑电路分析和设计仪器。

三、仿真例题

1、利用逻辑转换仪对已知逻辑电路进行分析

电路图如下:

图待分析逻辑电路

分析结果如下:

图逻辑分析仪输出结果

2、根据要求利用逻辑转换仪进行逻辑电路设计

问题:有一火灾报警系统,设有烟感、温感和紫外线三种类型的火灾探测器。为了防止误报警,只有当其中的两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。

利用逻辑分析仪分析:

图经分析得到的真值表和表达式

则可以得到如下电路图:

A B C

14

13

10

912

11

8

图 最终得到的逻辑电路图

四、思考题

1、设计一个四人表决电路,即如果3人或3人以上同意,则通过;否则被否决。用与非门实现。

解:用ABCD 分别表示四人的表决结果,1表示同意,0表示不同意。则利用逻辑分析仪可以输入如下真值表,并得到如下表达式:

L=ACD+ABD+ABC+BCD

图 逻辑分析仪得到的真值表和表达式

得到如下电路图:

A

B C

14

11

13

1

12

3

210

9

68754

图 利用逻辑分析仪得到的与非门设计的表决电路

2、利用逻辑转换仪对下图所示电路进行分析。

XLC1

A B

U1A

74LS04D

U1B 74LS04D

U1C 74LS04D

U2A 74LS00D

U2B

74LS00D

2

U3A

74LS10D

U3B

74LS10D

1

4

3

6

5

7

8

9

10

图 待分析的逻辑电路

解:通过逻辑分析仪可以得到如下结果:

图 逻辑分析仪输出结果

=++

得到逻辑表达式为:L AC BC ABC

实验二 编码器、译码器电路仿真实验

一、实验目的

1、掌握编码器、译码器的工作原理

2、常见编码器、译码器的应用 二、实验原理

数字信号既可以表示数,也可以用来表示指令和信息。 编码器是指在选定的一系列二进制数码中,赋予每个二进制数码以某种特定的含义。能完成编码功能的电路统称为编码器。74LS148D 是常用的8-3线优先编码器,在8个输入线上可以同时出现几个有效输入信号,但只对其中优先权最高的一个有效输入信号进行编码。其中7端优先权最高,0端优先权最低。1E 端是选通输入端,低电平有效,只有当1E =0时,编码器正常工作,当1E =1时,所有的输出端均被封锁,EO 为选通输出端,GS 为优先标志端。此编码器输入、输出都是低电平有效。

译码是编码的逆过程。能够完成译码功能的电路叫做译码器。74LS138属于3-8线译码器,该译码器输入高电平有效,输出低电平有效。 三、仿真例题

1、8-3线优先编码器实验仿真

按图接线,切换单刀双掷开关进行仿真实验,将结果填入表中。

图 8-3线优先编码器仿真电路

实验真值表如下:

表 8-3线优先编码器真值表

2、3-8线译码器实验仿真

按图接线,切换单刀双掷开关进行仿真实验,将结果填入表中。

X7

图线译码器实验仿真

实验真值表如下:

四、思考题

线优先编码的逻辑功能。

解:实验电路图如下图

图用8-3线优先编码器74LS148D设计16-4线优先编码电路

码的逻辑功能。

解:实验电路图如下图

图用-8线译码器74LS138D设计4-16线译码电路实验真值表略。

实验三 竞争冒险电路仿真

一、实验目的

1、掌握组合逻辑电路产生竞争冒险的原因。

2、学会竞争冒险是否可能存在的判断方法。

3、了解常用的消除竞争冒险的方法。 二、实验原理

在组合逻辑电路中,由于门电路存在传输延时时间和信号状态变化的速度不一致等原因,使信号的变化出现快慢的差异,这种现象叫做竞争。竞争的结果是使输出端可能出现错误信号,这种现象叫做冒险。因此有竞争不一定有冒险,但是有冒险就一定存在竞争。

利用卡诺图可以判断组合逻辑电路是否可能存在竞争冒险现象,具体做法如下:根据逻辑函数表达式,做出其卡诺图,若卡诺图中填1的格所形成的卡诺图有两个相邻的圈相切,则该店路存在竞争冒险的可能性。

既然电路存在竞争就有可能产生冒险,造成输出的错误动作,因此,必须杜绝竞争冒险现象的产生,常用的消除竞争冒险的方法有以下4种:加取样脉冲;修改逻辑设计,增加冗余项;在输出端接滤波电容;加封锁脉冲等。 三、仿真例题

1、0型冒险电路仿真实验

图是逻辑功能为1F A A =+=的逻辑电路图,

图 0型冒险电路

得到如下仿真结果:

图 0型冒险电路输出波形

上图中,下面的波形是输入方波波形,上面的波形是输出波形,从输出波形可以看出,原本应该始终为1的波形,现在出现了短时间的低电平,出现了冒险现象。

我认为,可以通过在输出端加封锁脉冲来消除冒险现象。 2、1型冒险电路仿真

图是逻辑功能为0F A A =?=的逻辑电路图

XSC1

A B

Ext T rig

+

+

_

_

+_

V11kHz 5 V

U1A

74LS05D

U2A

74LS08D

图 1型冒险电路

图 1型冒险电路仿真结果

由上图可知,根据逻辑表达式可以得到的输出波形应该始终为0,但是上图中的输出波形(上面的波形)出现了短暂的高电平,因此出现了冒险。

我认为消除冒险的方法同0型冒险电路。 3、多输入信号同时变化时产生的冒险电路

图是逻辑功能为F AB AC =+的逻辑电路,已知B=C=1时,1F A A =+=,但是由于多输入信号的变化不同时,引起电路出现冒险现象。

V11MHz 5 V

GND

VDD

5V

U2B 74LS09D

U2C 74LS09D

U3B 74LS32D

XSC1

A B

Ex t T rig

+

+

_

_

+_

U1A 74LS05D

图 多输入信号同时变化产生的冒险电路

图 多输入信号同时变化时产生的冒险电路

根据逻辑表达式分析可知,在已知B=C=1时,1F A A =+=始终成立,但是由上图波形可知,图中出现了低电平,出现了冒险现象。

消除此冒险的方法可以是修改逻辑电路设计,增加冗余项。可以将F AB AC =+修改为F AB A C BC =++,修改后的逻辑电路如下:

V11MHz 5 V

GND

VDD

5V

U2B 74LS09D

U2C 74LS09D

U3B 74LS32D

XSC1

A B

Ex t T rig

+

+

_

_

+_

U1A 74LS05D

U2A

74LS09D

U3C

74LS32D

图 修改后的逻辑电路

修改后,得到的输出波形:

图修改后的电路输出波形通过波形可以看出,修改后就没有了冒险现象。

四、思考题

如图所示电路是否存在竞争冒险现象,若存在,如何消除

U1A

74LS05D

U2A

74LS02D

U2B

74LS02D

U3A

74LS02D

V1

1MHz 5 V

VCC 5V

XSC1

A B

Ext T rig

+

+

_

_+_

图思考题电路

解:分析该电路可以知道,其逻辑功能为:F A B A C

=+++,分析可知,当B=C=0

时,F=0,

但是通过仿真可得到如下波形:

图思考题电路输出波形由该波形能够看出,该电路是存在冒险现象的。

类似例题3,可以通过加冗余项来消除冒险,具体做法如下:

将原函数修改为F A B A C B C

=+++++,做出如下电路图:

U1A 74S05D

U2A

74S02D

U2B

74S02D

U2C

74S02D

U2D

74S02D

U3A

74S02D

V1

1MHz 5 V 2

1

3

45

6

XSC1

A B Ext Trig

+

+

_

_+_

7

图修改后的电路图

通过仿真可以得到如下波形:

图修改后电路的输出波形由波形可以看出,冒险想象已经消除。

实验四 触发器电路仿真

一、实验目的

1、掌握边沿触发器的逻辑功能

2、逻辑不同边沿触发器逻辑功能之间的相互切换。 二、实验原理

触发器是构成时序电路的基本逻辑元件,具有记忆、存储二进制信息的功能。从逻辑功能上将触发器分为RS 、JK 、D 、T 、T ’等几种类型,对于逻辑功能的描述有真值表、波形图、特征方程等几种方法。功能不同的触发器之间可以相互转换。边沿触发器是指在CP 上升沿或下降沿到来时接受此刻的输入信号,进行状态转换,而其他时刻输入信号状态的变化对其没有影响的电路。

集成触发器通常具有异步置位、复位的功能,74LS74D 是在一片芯片上包含连两个完全独立边沿D 触发器的集成电路。对它的分析可以分为以下三种情况:

1、无论CP 、D 为何值,只要~1CLR=0,1PR=1,触发器置零;只要~1CLR=1,~1PR=0,触发器置1.

2、当~1CLR=~1PR=0时为不允许状态。

3、当~1CLR=~1PR=1且CP 处于上升沿时,1

n Q

+=D 。

74LS112是在一片芯片上包含两个完全独立边沿JK 触发器的集成电路,对它的分析可以分为以下三种情况:

1、无论CP 、J 、K 为何值,只要~1CLR=0,~1PR=1,触发器置零;只要~1CLR=1,~1PR=0,触发器置1.

2、当~1CLR=~1PR=0时为不允许状态。

3、当~1CLR=~1PR=1且CP 处于下降沿时,1n n n Q JQ KQ +=+。 三、仿真例题

1、D 触发器仿真电路如下:

5 V

图D触发器仿真实验图

表D触发器实验真值表

2、JK触发器仿真电路如下:

图JK触发器仿真电路图

利用开关改变输入端的状态,观察输出端的变化,将结果记录于表格中:

表JK触发器仿真实验记录表

四、思考题

由于D触发器使用方便,JK触发器功能最完善,怎样将JK触发器和D触发器分别转换

成T触发器。

解:

1、将JK触发器转换成T触发器,电路如下:

图JK触发器转换成T触发器

2、将D触发器转换成T触发器

5 V

图D触发器转换成T触发器

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

华科模电实验报告

华科模电实验报告 篇一:模电实验报告 国家电工电子实验教学中心 模拟电子技术实验报告 实验题目:放大电路的失真研究 学院:专业: 电子信息工程轨道交通信号与控制 韩佳伟 学生姓名: 合作者:蒋明宇李祥学号:任课教师: 13212065 白双 XX年6月16日 目录 实验报告 ................................................ ....................... 1 实验题目:放大电路的失真研究 ....................................... 1 1 实验题目及要

求 ................................................ ................. 2 2 实验目的与知识背景 ................................................ ......... 3 2.1 实验目的 ................................................ ....................... 3 2.2 知识点 ................................................ ......................... 3 2.3 非线性失真原理介绍 ................................................. 3 3 实验过程 ................................................ ............................. 4 3.1 选取的实验电路及输入输出波形................................ 4 1截止失真、饱和失真、双向失真.............................. 4 2交越失真 ................................................ ...................... 6 3非对称失真 ................................................ .................. 8 4增益带宽积 ................................................ .................. 9 5语音放大电路 ................................................

模电仿真实验 共射极单管放大器

仿真实验报告册 仿真实验课程名称:模拟电子技术实验仿真仿真实验项目名称:共射极单管放大器 仿真类型(填■):(基础■、综合□、设计□) 院系:专业班级: 姓名:学号: 指导老师:完成时间: 成绩:

一、实验目的 (1)掌握放大器静态工作点的调试方法,熟悉静态工作点对放大器性能的影响。 (2)掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 (3)熟悉低频电子线路实验设备,进一步掌握常用电子仪器的使用方法。 二、实验设备及材料 函数信号发生器、双踪示波器、交流毫伏表、万用表、直流稳压电源、实验电路板。 三、实验原理 电阻分压式共射极单管放大器电路如图所示。它的偏置电路采用(R W +R 1)和R 2组成的分压电路,发射极接有电阻R 4(R E ),稳定放大器的静态工作点。在放大器的输入端加入输入微小的正弦信号U i ,经过放大在输出端即有与U i 相位相反,幅值被放大了的输出信号U o ,从而实现了电压放大。 在图电路中,当流过偏置电阻R 1和R 2的电流远大于晶体管T 的基极电流I B 时(一般5~10倍),则它的静态工作点可用下式进行估算(其中U CC 为电源电压): CC 21W 2 BQ ≈ U R R R R U ++ (3-2-1) C 4 BE B EQ ≈I R U U I -= (3-2-2) )(43C CC CEQ R R I U U +=- (3-2-3) 电压放大倍数 be L 3u ||=r R R β A - (3-2-4) 输入电阻 be 21W i ||||)(r R R R R += (3-2-5) 图 共射极单管放大器

模电实验

模拟电子技术实验第十一次实验 波形发生电路 实验报告 2016.12.22 . .

. . 一、 实验目的 1、 学习用集成运放构成正弦波、方波和三角波。 2、 学会波形发生电路的调整和主要性能指标的测试方法。 二、 实验原理 由集成运放构成的正弦波、方波和三角波发生电路有多种形式,本实验采用 最常用且比较简单的几种电路来做分析。 1、 RC 桥式正弦波振荡电路 下图所示为RC 桥式正弦波振荡电路。其中RC 串并联电路构成正反馈支路, 同时起到选频网络的作用。R1、R2、Rw 及二极管等元件构成负反馈和稳幅环节。调节电位器Rw ,可以改变负反馈深度,以满足振荡的振幅条件和改善波形。利用两个反向并联二极管D1、D2正向电阻的非线性特性来实现稳幅。D1、D2采用硅管(温度稳定性好),且要求特性匹配,才能保持输出波形正、负半周对称。R3的接入是为了削弱二极管非线性的影响,以改善波形失真。 电路的振荡频率:12o f RC π= 起振的幅值条件:12f R R ≥ (具体推导见书第406页) 其中23(//)f w D R R R R r =++,D r 是二极管正向导通电阻 调整反馈电阻Rf (调Rw ),使电路起振,且波形失真最小。如不能起振,则

. . 说明负反馈太强,应当适当加大Rw ;如波形失真严重,则应当适当减小Rw 。 改变选频网络的参数C 或R ,即可调节振荡频率。一般采用改变电容C 作频率量程切换,而调节R 作量程的频率细调。 2、 方波发生电路 由集成运放构成的方波发生电路和三角波发生电路,一般均包括比较电路和 RC 积分电路两大部分。下图所示为由迟滞比较器及简单RC 积分电路组成的方波-三角波发生电路。它的特点是线路简单,但三角波的线性度较差。主要用于产生方波,或对三角波要求不高的场合。 电路振荡频率:211 22ln(1)o f f f R R C R =+ 式中11''w R R R =+,22'''w R R R =+ 方波输出幅值:om Z V V =± 三角波输出幅值:212 CM Z R V V R R =+ 调节电位器Rw (即改变R2/R1,),可以改变振荡频率,但三角波的幅值也随之变化。如要互不影响,则可以通过改变Rf 或Cf 来实现振荡频率的调节。 3、 三角波和方波发生电路 如把迟滞比较电路和积分电路首尾相接形成正反馈闭环系统,如下图所示, 则比较电路A1输出的方波经积分电路A2积分可以得到三角波,三角波又触发比较器自动翻转形成方波,这样既可构成三角波、方波发生电路。

数电实验报告一

姓名:谭国榕班级:12电子卓越学号:201241301132 实验一逻辑门电路的研究 一、任务 1.熟悉实验室环境及实验仪器、设备的使用方法。 2.掌握识别常用数字集成电路的型号、管脚排列等能力。 3.熟悉74 LS系列、CMOS 4000B系列芯片的典型参数、输入输出特性。 4.掌握常规数字集成电路的测试方法。 二、实验设备及芯片 双踪示波器(DF4321C)1台 信号发生器(DF1641B1)1台 数字万用表(UT58B)一台 数电实验箱1个(自制) 芯片2个:74LS04 CD4069 。 三、实验内容 1.查阅芯片的PDF文件资料,分清管脚名与逻辑功能的对应关系及对应的真值表。74LS04:

CD4069: 2.静态测试 验证6非门74LS04、4069逻辑功能是否正常,并用数字万用表测量空载输出的逻辑电平值(含高、低电平)。 结论:由表格可以看出,CD4069输出的高电平比74LS04高,输出的低电平比74LS04低,所以CD4069的噪声容限相对于74LS04来说较大,故其抗干扰能力强。 3.动态测试 测逻辑门的传输延迟时间:将74LS04、4069中的6个非门分别串接起来,将函数发生器的输出调为方波,对称,幅度:0-5V,单极性,加至第一个门的输入端,并用示波器的通道1观察;用示波器的通道2观察最后一个非门的输出信号,对比输入输出波形以及信号延迟时间。

调节方波信号:

74LS04输出延迟特性: CD4069输出延迟特性:

输出延迟时间的实验数据表: 结论:74LS04的输出延迟比CD4069的输出延迟要短,说明前者的工作速度比后者快。 4.观察电压传输特性 用函数发生器的输出单极性的三角波,幅度控制在5伏,用示波器的X-Y 方式测量TTL 、 CMOS 逻辑门的传输特性,记录波形并对TTL 、CMOS 两种类型电路的高电平输出电压、低电平输出电压以及噪声容限等作相应比较。 (1) 调节函数发生器的输出:单极性三角波,对称,幅度:5V ,频率:500Hz ,从函数发生 器的下部50Ω输出端输出信号; 如图: (2) 扫描方式改为X-Y ,CH1、CH2 接地,调光标使其处于左下角附近; (3) CH1 用 2.0V/DIV (DC ),接函数发生器输出(即非门的输入);CH2 用 0.2V/DIV (DC ),接非门输出。 (4) 记录示波器波形(如图)。

模电实验报告常用电子仪器的使用

实验报告专业:姓名:学号:日期:桌号: 课程名称:模拟电子技术基础实验指导老师:蔡忠法成绩:________________ 实验名称:常用电子仪器的使用 一、实验目的 1. 了解示波器、函数信号发生器、毫伏表等电子仪器的基本原理。 2. 掌握示波器、函数信号发生器、毫伏表等电子仪器的使用方法。 二、实验器材 双踪示波器、函数信号发生器、晶体管毫伏表、数字万用表 三、实验内容 1. 示波器单踪显示练习 2. 函数信号发生器练习 3. 晶体管毫伏表练习 4. 示波器双踪显示练习 5. 测试函数发生器的同步输出波形 6. 数字万用表使用练习 四、实验原理、步骤和实验结果 1. 示波器单踪显示练习 实验原理: 实验步骤: 1) 探头连校准信号,在屏幕上调出稳定的波形。 2) 测量方波的幅度和频率。 3) 测量方波的上升沿和下降沿时间。

实验数据记录: 实验小结: 1) 测量上升时间和下降时间的方法是: 2) 示波器使用注意事项是: 2. 函数信号发生器练习 实验原理: 实验步骤: 1) 调节函数信号发生器输出三角波,送示波器显示稳定的波形。 2) 将频率分别调到1 kHz、10 kHz、100 Hz。 3) 将三角波幅度调到50mV(峰值)。 4) 从示波器中读出三角波频率。 实验数据记录: 实验小结: 函数信号发生器使用注意事项是:

3. 晶体管毫伏表练习 实验原理: 实验步骤: 1) 调节函数信号发生器输出1 k Hz正弦波,送示波器显示稳定的波形。 2) 调节幅度至约1.4V峰值(用示波器测量)。 3) 同时用毫伏表测正弦波有效值,调节正弦波幅度精确至有效值1V(用毫伏表测量)。 4) 从示波器中读出此时的正弦波幅值,记入表中。 实验数据记录: 4. 示波器双踪显示练习 实验原理: 实验步骤: 1) 示波器CH1、CH2均不加输入信号,采用自动触发方式。 2) 扫速开关置于扫速较慢位置(如0.5 s/div挡),将“显示方式”开关分别置为“交替” 和“断续”,观察并描述两条扫描线的显示特点。 3) 扫速开关置于扫速较快位置(如5μs/div挡),将“显示方式”开关分别置为“交替” 和“断续”,观察并描述两条扫描线的显示特点。 实验结果记录: 实验小结:(什么情况下用交替显示方式?什么情况下用断续显示方式?) 5. 测试函数发生器的同步输出波形 实验步骤:

模电仿真实验报告。

模拟电路仿真实验报告 张斌杰生物医学工程141班 MUltiSim软件使用 一、实验目的 1、掌握MUltiSim软件的基本操作和分析方法。 二、实验内容 1、场效应管放大电路设计与仿真 2、仪器放大器设计与仿真 3、逻辑电平信号检测电路设计与仿真 4、三极管Beta值分选电路设计与仿真 5、宽带放大电路设计与仿真 三、MUItiSim软件介绍 MUItiSim是美国国家仪器(NI)有限公司推出的以WindOWS为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用MUItiSinl交互式地搭建电路原理图,并对电路进行仿真。MUltiSiIn提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPlCE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过MUItiSiIn和,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到和测试这样一个完整的综合设计流程。 实验名称:

仪器放大器设计与仿真 二、实验目的 1、 掌握仪器放大器的设计方法 2、 理解仪器放大器对共模信号的抑制能力 3、 熟悉仪器放大器的调试功能 4、 掌握虚拟仪器库中关于测试模拟电路仪器的使用方法,如示波器,毫伏 表信 号发生器等虚拟仪器的使用 三、设计实验电路图: 四、测量实验结果: 出为差模放大为399mvo 五、实验心得: 应用MUIti S im 首先要准备好器件的PSPiCe 模型,这是最重要的,没有这个 东西免谈,当然SPiCe 高手除外。下面就可以利用MUItiSinl 的元件向导功 能制作 差模分别输入信号InW 第二条线与第三条线: 共模输入2mv 的的电压,输出为2mv 的电压。 第一条线输

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

北京交通大学模电实验报告

国家电工电子实验教学中心 模拟电子技术 实验报告 实验题目:失真放大电路的研究 学院:电信学院 专业:通信工程 学生姓名:马哲 学号:12213046 任课教师:刘颖 2014 年 5 月30 日

目录 1.实验要求 (2) 2.实验目的与知识背景 (4) 2.1实验目的 (4) 2.2知识点 (4) 3.实验过程 (4) 3.1实验电路及输入输出波形 (4) 3.2每个电路的讨论和方案比较 (17) 3.3分析研究实验数据 (17) 4.总结与体会 (18) 5.参考文献 (19)

1 实验题目及要求 基本要求:(1)输入一标准正弦波,频率2kHz,幅度50mV,输出正弦波频率2kHz,幅度1V。 (2)下图放大电路输入是标准正弦波,其输出波形失真。设计电路并改进。讨论产生失真的机理,阐述解决问题的办法。 (3)下图放大电路输入是标准正弦波,其输出波形失真。设计电路并改进。讨论产生失真的机理,阐述解决问题的办法。 (4)下图放大电路输入是标准正弦波,其输出波形失真。设计电路并改进。讨论产生失真的机理,阐述解决问题的办法。 (5)下图放大电路输入是标准正弦波,其输出波形失真。设计电路并改进。讨论产生失真的机理,阐述解决问题的办法。

发挥部分 (1)下图放大电路输入是标准正弦波,其输出波形失真。 (2)任意选择一运算放大器,测出增益带宽积f T。并重新完成前面基本要求和发挥部分的工作。 (3)将运放接成任意负反馈放大器,要求负载2kΩ,放大倍数为1,将振荡频率提高至f T的95%,观察输出波形是否失真,若将振荡器频率提高至f T的110%,观察输出波形是否失真。 (4)放大倍数保持100,振荡频率提高至f T的95%或更高一点,保持不失真放大,将纯阻抗负载2kΩ替换为容抗负载20 F,观察失真的输出波形。 (5)设计电路,改善发挥部分(4)的输出波形失真。 附加部分: (1)设计一频率范围在20Hz~20kHz语音放大器。 (2)将各种失真引入语音放大器,观察、倾听语音输出。 失真研究: (1)由单电源供电的运算放大器电路会出现哪种失真? (2)负反馈可解决波形失真,解决的是哪类失真?

Multisim数字电路和模拟电路实验报告

昆明理工大学(MultiSim)实验报告 实验名称:模拟电路和数字电路 实验时间:2014 年9 月11 日 专业:指导教师: 姓名: 学号:成绩:教师签名: 一、实验目的: 了解ADC和DAC的作用,连接方法等。学会让信号实现可视化,把可视化的信号转换为模拟信号。 二、实验内容: 模数转换

数模转换 数模模数转换

电压检测 三、实验步骤: 1.连接电路 2.调试电路 3.调节滑动变阻器,观察信号不同的变化。 出师表 两汉:诸葛亮 先帝创业未半而中道崩殂,今天下三分,益州疲弊,此诚危急存亡之秋也。然侍卫之臣不懈于内,忠志之士忘身于外者,盖追先帝之殊遇,欲报之于陛下也。诚宜开张圣听,以光先帝遗德,恢弘志士之气,不宜妄自菲薄,引喻失义,以塞忠谏之路也。 宫中府中,俱为一体;陟罚臧否,不宜异同。若有作奸犯科及为忠善者,宜付有司论其刑赏,以昭陛下平明之理;不宜偏私,使内外异法也。 侍中、侍郎郭攸之、费祎、董允等,此皆良实,志虑忠纯,是以先帝简拔以遗陛下:愚以为宫中之事,事无大小,悉以咨之,然后施行,必能裨补阙漏,有所广益。 将军向宠,性行淑均,晓畅军事,试用于昔日,先帝称之曰“能”,是以众议举宠为督:愚以为营中之事,悉以咨之,必能使行阵和睦,优劣得所。 亲贤臣,远小人,此先汉所以兴隆也;亲小人,远贤臣,此后汉所以倾颓也。先帝在时,每与臣论此事,未尝不叹息痛恨于桓、灵也。侍中、尚书、长史、参军,此悉贞良死节之臣,愿陛下亲之、信之,则汉室之隆,可计日而待也。 臣本布衣,躬耕于南阳,苟全性命于乱世,不求闻达于诸侯。先帝不以臣卑鄙,猥自枉屈,三顾臣于草庐之中,咨臣以当世之事,由是感激,遂许先帝以驱驰。后值倾覆,受任于败军之际,奉命于危难之间,尔来二十有一年矣。

数电实验-实验报告-实验六

实验一 TTL与非门的参数测试 一、实验目的 ·掌握用基本逻辑门电路进行组合逻辑电路的设计方法。 ·通过实验,验证设计的正确性。 二、实验原理 1.组合逻辑电路的分析: 所谓组合逻辑电路分析,即通过分析电路,说明电路的逻辑。 通常采用的分析方法是从电路的输入到输出,根据逻辑符号的功能逐级列出逻辑函数表达式,最好得到表示输出与输入之间的关系的逻辑函数式。然后利用卡诺图或公式化简法将得到的函数化简或变换,是逻辑关系简单明了。为了使电路的逻辑功能更加直观,有时还可以把逻辑函数式转化为真值表的形式。 2.逻辑组合电路的设计: 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单电路,陈伟组合逻辑电路的设计。 3.SSI设计:设计步骤如下: ①逻辑抽象;分析时间的因果关系,确定输入和输出变量。 ②定义逻辑状态的含义:以二值逻辑0、1表示两种状态。 ③列出真值表 ④写出逻辑表达式,并进行化简,根据选定器件进行转换。 ⑤画出逻辑电路的连接图。 ⑥实验仿真,结果验证。 三、实验仪器及器件 数字万用表1台

多功能电路实验箱1台 四、实验内容 1.设计5421BCD 码转换为8421BCD 码(用双输入端与非门实现)。 四位自然二进制码 5421BCD码 B3 B2 B1 B0 D3 D2 D1 D0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 1 1 1 0 1 0 伪码 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 根据5421BCD 码与8421BCD 码真值表可得 2.设A 、B 、C 、D 代表四位二进制变量,函数X=8A-4B+2C+D ,试设计一个组合逻辑电路,判断当函数值介于4

西工大模电实验报告(完全版)

晶体管单极放大器 一、实验目的 (1)掌握用Multisim11.0仿真软件分析单极放大器主要性能指标的办法。 (2)掌握晶体管放大器静态工作点的测试和调整方法,观察静态工作点对放大器输出波形的影响。 (3)测量放大器的放大倍数、输出电阻和输入电阻。 二、实验原理及电路 实验电路如下图所示,采用基极固定分压式偏置电路。电路在接通直流电源Vcc而未加入输入信号()时,三极管三个极电压和电流称为静态工作点,即 (1) (2) (3) (4)

1、静态工作点的选择和测量 放大器的基本任务是不失真地放大小信号。为此应设置合适的静态工作点。为了获得最大不失真的输出电压,静态工作点应选在输出 特性曲线上交流福在线的中点(Q点)。若工作点选得太高则易引起饱 和失真;而选的太低,又易引起截止失真。 静态工作点的测量是指在接通电源电压后放大器输入端不加信号时,测量晶体管集电极电流、管压降和。 静态工作点调整现象动作归纳 电压放大倍数是指放大器输出电压与输入电压之比 (5) 3、输入电阻和输出电阻的测量 (1)输入电阻。放大电路的输入电阻可用电流电压法测量求得。 在输入回路中串接一外接电阻R=1kΩ,用示波器分别测出电阻 两端的电压和,则可求得放大电路的输入电阻为 =(6) (2) 输出电阻。放大电路的输出电阻可通过测量放大电路输出端 开路时的输出电压,带上负载后的输出电压,经计算求 得。 =()×(7) 三、实验内容 (一)仿真部分 1、静态工作点的调整和测量 (1)按图连接电路

(2)输入端加1kHz、幅度为20mV(峰-峰值)的正弦波,调节电位器,使示波器显示的输出波形达到最大不失真。 (3)撤掉信号发生器,用万用表测量三极管三个极分别对地的电压,、、,计算和数据记录与表一。 2、电压放大倍数的测量 (1)输入信号为1kHz、幅度为20mV(峰-峰值)的正弦信号,输出端开 路时(RL=∞),用示波器分别测出,的大小,由式(5)算出 电压放大倍数。记录于表二。 (2)放大电路输出端接入2kΩ的负载电阻,保持输入电压不变,测出此时的输出电压,并计算此时的电压放大倍数,分析负载 对放大电路电压放大倍数的影响。记录于表二。

模电课设实验报告

河北科技大学 课程设计报告 学生姓名:xxx学号:120701103 专业班级:xxx 课程名称:模拟电子技术基础 学年学期:2 013 —2 014 学年第一学期指导教师:王彦朋蔡明伟 2 0 1 3 年12 月

课程设计成绩评定表

目录 一任务.................................................................................................................. - 1 - 二电路原理图...................................................................................................... - 1 - 三单元电路设计.................................................................................................. - 1 - 1.稳压电源单元电路设计............................................................................... - 1 - 2.正弦波单元电路设计................................................................................... - 2 - 3.方波单元电路设计....................................................................................... - 3 - (1)过零比较器及限幅电路.................................................................. - 3 - (2)反相比例运算放大电路.................................................................. - 4 - 4.三角波单元电路设计................................................................................... - 5 - 四元件明细表...................................................................................................... - 6 - 五安装与调试...................................................................................................... - 7 - 六收获体会.......................................................................................................... - 7 - 七附录.................................................................................................................. - 8 - 八参考文献.......................................................................................................... - 8 -

数电仿真实验报告

数字电子技术仿真 实验报告 班级: 姓名: 学号:

实验一组合逻辑电路设计与分析 一、实验目的 1.掌握组合逻辑电路的特点; 2.利用逻辑转换仪对组合逻辑电路进行分析与设计。 二、实验原理 组合逻辑电路是一种重要的、也是基本的数字逻辑电路,其特点是:任意时刻电路的输出仅取决于同一时刻输入信号的取值组合。 对于给定的逻辑电路图,我们可以先由此推导出逻辑表达式,化简后,由所得最简表达式列出真值表,在此基础上分析确定电路的功能,这也即是逻辑电路的分析过程。 对于组合逻辑电路的设计,一般遵循下面原则,由所给题目抽象出便于分析设计的问题,通过这些问题,分析推导出真值表,由此归纳出其逻辑表达式,再对其化简变换,最终得到所需逻辑图,完成了组合逻辑电路的设计过程。 逻辑转换仪是在Multisim软件中常用的数字逻辑电路设计和分析的仪器,使用方便、简洁。 三、实验电路及步骤 1.利用逻辑转换仪对已知逻辑电路进行分析。 (1)按图1-1连接电路。 图1-1 待分析的逻辑电路 (2)通过逻辑转换仪,得到下图1-2所示结果。 由图可看到,所得表达式为:输出为Y, D'+ABCD CD'+ABC' AB' + D C' BCD'+AB' A' + D BC' A'+ CD B' D'+A' C' B' A' Y

图1-5 经分析得到的真值表和表达式 (3)分析电路。观察真值表,我们发现:当输入变量A、B、C、D中1的个数为奇数时,输出为0;当其为偶数时,输出为1。因此,我们说,这是一个四输入的奇偶校验电路。 2.根据要求,利用逻辑转换仪进行逻辑电路的设计。 问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火灾推测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才会产生报警控制信号,试设计报警控制信号的电路。 具体步骤如下: (1)分析问题:探测器发出的火灾探测信号有两种情况,一是有火灾报警(可用“1”表示),一是没有火灾报警(可用“0”来表示),当有两种或两种以上报警器发出报警时,我们定义此时确有警报情况(用“1”表示),其余以“0”表示。由此,借助于逻辑转换仪面板,我们绘出如图1-3所示真值表。 图1-3 经分析得到的真值表

直流稳压电源设计实验报告(模电)

直流稳压电源的设计实验报告 一、实验目的 1.学会选择变压器、整流二极管、滤波电容及集成稳压器来设计直流稳压电源 2.掌握直流稳压电源的调试及主要技术指标的测量方法 二、实验任务 利用7812、7912设计一个输出±12V 、1A 的直流稳压电源; 三、实验要求 1)画出系统电路图,并画出变压器输出、滤波电路输出及稳压输出的电压波形; 2)输入工频220V 交流电的情况下,确定变压器变比; 3)在满载情况下选择滤波电容的大小(取5倍工频半周期); 4)求滤波电路的输出电压; 5)说明三端稳压器输入、输出端电容的作用及选取的容值。 四、实验原理 1.直流电源的基本组成 变压器:将220V 的电网电压转化成所需要的交流电压。 整流电路:利用二极管的单向导电性,将正负交替的交流电压变换成单一方向的直流脉动电压。 滤波电路:将脉动电压中的文波成分滤掉,使输出为比较平滑的直流电压。 稳压电路:使输出的电压保持稳定。 4.2 变压模块 变压器:将220V 的电网电压转化成所需要的交流电压。 4.2 整流桥模块 整流电路的任务是将交流电变换为直流电。完成这一任务主要是靠二极管的单向导电作用,因此二极管是构成整流电路的关键元件。管D 1~D 4接成电桥的形式,故有桥式整流电路之称。 由上面的电路图,可以得出输出电压平均值:2)(9.0U U AV o ≈ ,由此可以得V U 152=即可 即变压器副边电压的有效值为15V 计算匝数比为 220/15=15 2.器件选择的一般原则 选择整流器 流过二极管的的平均电流: I D =1/2 I L 在此实验设计中I L 的大小大约为1A 反向电压的最大值:Urm=2U 2 选择二极管时为了安全起见,选择二极管的最大整流电路I DF 应大于流过二极

模电实验报告

模拟电子技术基础实验报告 姓名:蒋钊哲 学号:2014300446 日期:2015、12、21 实验1:单极共射放大器 实验目的: 对于单极共射放大电路,进行静态工作点与输入电阻输出电阻的测量。 实验原理: 静态工作点的测量就是指在接通电源电压后放大器输入端不加信号(通过隔直电容 将输入端接地)时,测量晶体管集电极电流I CQ 与管压降V CEQ 。其中集电极电流有两种测量 方法。 直接法:将万用表传到集电极回路中。 间接法:用万用表先测出R C 两端的电压,再求出R C 两端的压降,根据已知的R E 的阻值,计 算I CQ 。 输出波底失真为饱与失真,输出波顶失真为截止失真。 电压放大倍数即输出电压与输入电压之比。 输入电阻就是从输入端瞧进去的等效电阻,输入电阻一般用间接法进行测量。 输出电阻就是从输出端瞧进去的等效电阻,输出电阻也用间接法进行测量。实验电路:

实验仪器: (1)双路直流稳压电源一台。 (2)函数信号发生器一台。 (3)示波器一台。 (4)毫伏表一台。 (5)万用表一台。 (6)三极管一个。 (7)电阻各种组织若干。 (8)电解电容10uF两个,100uF一个。 (9)模拟电路试验箱一个。 实验结果: 经软件模拟与实验测试,在误差允许范围内,结果基本一致。 实验2:共射放大器的幅频相频 实验目的: 测量放大电路的频率特性。 实验原理: 放大器的实际信号就是由许多频率不同的谐波组成的,只有当放大器对不同频率的放大能力相同时,放大的信号才不失真。但实际上,放大器的交流放大电路含有耦合电容、旁路电容、分布电容与晶体管极间电容等电抗原件,即使得放大倍数与信号的频率有关,此关系为频率特性。 放大器的幅频特性就是指放大器的电压放大倍数与输入信号的频率之间的关系。在一端频率范围内,曲线平坦,放大倍数基本不变,叫作中频区。在中频段以外的频率放大倍数都会变化,放大倍数左右下降到0、707倍时,对应的低频与高频频率分别对应下限频率与上限频率。 通频带为: f BW=f H-f L 实验电路:

数电实验报告

数电实验报告

《数字电子技术》 实验报告 姓名:*** 班级:****888

学号:2014*******8 指导老师:**** 编制时间:2016.06.10 北京联合大学 实验一基本集成逻辑门电路功能分析一、实验目的 1.理解TTL和CMOS普通门电路的参数含义。 2.掌握TTL和CMOS普通门电路的使用方法。 3.掌握分析普通门电路逻辑功能的一般方法。 4.理解TTL和CMOS普通门电路参数的一般分析方法。 二、实验元器件 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×1只 三、实验内容

(一) TTL 双四输入与非门74LS00功能分析 (1)逻辑功能分析 参考图1.1连接电路。一只74LS00芯片中含有四个相同的2输入与非门,可以随意选用,此处选用的是第一个门电路。检查电路无误时方可通电。 图1.1 与非门逻辑功能测试电路 变换单刀双掷开关J1和J2的状态,用直流电压表测试电路的输出电压,将测试结果记入表1.1中。 表1.1 输入 输出 U 1/V U 2/V 实测值 逻辑值 0 0 5 5 0 5 5 5 5 5 5 U1A 7400N J2Key = A J1 Key = B VCC 5V 0.000 V +-

5 5 0 0 (2)电压传输特性分析 依照图1.3编辑电路。在0~5V 间逐步调整输入的直流电压,将随之变化的输出电压记入表1.2中。 图1.3 分析与非门电压传输特性仿真电路 表1.2 U I /V U O /V U I /V U O /V U I /V U O /V U I /V U O /V 5.0 0 3.8 0 2.6 0 1.4 5 4.8 0 3.6 0 2.4 5 1.2 5 4.6 0 3.4 0 2.2 5 1.0 5 4.4 0 3.2 0 2.0 5 0.8 5 4.2 0 3.0 0 1.8 5 0.4 5 4.0 0 2.8 1.6 5 5 5.000 V +-VSS U1A 7400N V2 1.8 V

完整版模拟电子电路实验报告

. 实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R 和R组成的分压电路,并在发射极中接有电阻R,以稳定放大器的静态工EB1B2作点。当在放大器的输入端加入输入信号u后,在放大器的输出端便可得到一i个与u相位相反,幅值被放大了的输出信号u,从而实现了电压放大。0i 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R和R 的电流远大于晶体管T 的 B2B1基极电流I时(一般5~10倍),则它的静态工作点可用下式估算B教育资料.. R B1U?U CCB R?R B2B1 U?U BEB I??I EC R E

)R+R=UU-I(ECCCCEC电压放大倍数 RR // LCβA??V r be输入电阻 r R/// R=R/beiB1 B2 输出电阻 R R≈CO由于电子器件性能的分散性比较大,因此在设计和制作晶 体管放大电路时, 为电路设计提供必离不开测量和调试技术。在设计前应测量所用元器件的参数,还必须测量和调试放大器的静态工作点和各要的依据,在完成设计和装配以后,因此,一个优质放大器,必定是理论设计与实验调整相结合的产物。项性能指标。除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。消除干扰放大器静态工作点的测量与调试,放大器的测量和调试一般包括:与自激振荡及放大器各项动态参数的测量与调试等。、放大器静态工作点的测量 与调试 1 静态工作点的测量1) 即将放大的情况下进行,=u 测量放大器的静态工作点,应在输入信号0 i教育资料. . 器输入端与地端短接,然后选用量程合适的直流毫安表和直流电压表,分别测量晶体管的集电极电流I以及各电极对地的电位U、U和U。一般实验中,为了避 ECCB免断开集电极,所以采用测量电压U或U,然后算出I的方法,例如,只要 测CEC出U,即可用E UU?U CECC??II?I,由U确定I(也可根据I),算出CCC CEC RR CE同时也能算出U=U-U,U=U-U。EBEECBCE为了减小误差,提高测量精度,应选用内阻较高的直流电压表。 2) 静态工作点的调试 放大器静态工作点的调试是指对管子集电极电流I(或U)的调整与测试。 CEC静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时u的负半周将被削底,O 如图2-2(a)所示;如工作点偏低则易产生截止失真,即u的正半周被缩顶(一 O般截止失真不如饱和失真明显),如图2-2(b)所示。这些情况都不符合不失真放大的要求。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端 加入一定的输入电压u,检查输出电压u的大小和波形是否满足要求。如不满Oi

模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告

实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。 3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了解共 射级电路的特性。 二、虚拟实验仪器及器材 双踪示波器信号发生器交流毫伏表数字万用表 三、实验步骤 1.仿真电路图 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 1 R7 5.1kΩ 9 XMM1 6 E级对地电压25.静态数据仿真

仿真数据(对地数据)单位;V计算数据单位;V 基级集电极发射级Vbe Vce RP 2.834 6.126 2.2040.63 3.92210k 26.动态仿真一 1.单击仪表工具栏的第四个,放置如图,并连接电路。 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 R7 5.1kΩ XSC1 A B Ext Trig + + _ _+_ 6 1 9

2.双击示波器,得到如下波形 5.他们的相位相差180度。 27.动态仿真二 1.删除负载电阻R6 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 XSC1 A B Ext Trig + + _ _+_ 6 1 9 2.重启仿真。

相关文档
最新文档