音乐彩灯控制电路数电FPGA设计(含代码)

音乐彩灯控制电路数电FPGA设计(含代码)
音乐彩灯控制电路数电FPGA设计(含代码)

音乐彩灯控制电路

1、申请题目:音乐彩灯控制电路

采用4×4矩阵式键盘作为电子琴按键输入,用FPGA设计一个既可以区分不同音高和音阶进行乐曲的弹奏,又可以自动播放乐曲的音乐电路。同时,在播放音乐的同时,点阵板上的彩灯会随着不同的音乐、不同的音阶、音调而出先不同的花型变化。按键音符可以通过数码管显示出来。

2、项目规划:

音乐演奏电路,既有通过键盘自由弹奏,又可以播放内存乐曲(播放的乐曲由设计者自由编辑,以简谱二进制码方式存放在ROM的数据文件中)。

彩灯显示电路,在音乐播放的同时,根据音乐节拍的不同,16路彩灯进行各种花型的变化,彩灯的亮灭有多种不同的模式,可以根据八个拨码开关来进行设计,进行自由组合搭配。不同的音乐对应不同的彩灯模式,每种模式执行16拍后依次循环改变,当音乐停止时,彩灯的变化也停止。

设置启动、停止控制键,可以结束音乐的播放和彩灯变化。

设置选择音乐播放键,共存储了3首音乐,可以通过选择键进行选择播放具体不同的音乐。

设置了彩灯变化选择按钮,有普通彩灯和动感彩灯两种方式进行选择,可以通过按键进行选择。

设计采用音乐引入控制彩灯变化方式。用4×4矩阵式键盘作为按键输入,16×16的LED 点阵板作为彩灯输出。可以通过按键选择弹奏乐曲和播放乐曲两种模式。点阵板上彩灯的变化随着乐曲的不同而进行不同的变化。在播放过程中可以按键进行系统的停止和启动运行。同时也可以通过扬声器将音乐播放出来。

3、实现方案:

问题1:乐曲的发声原理

组成乐曲的2个基本要素是每个音符的发声频率值和它持续的时间,可以利用程序控制FPGA某个引脚输出一定频率的矩形波,便可以得到某个音符的音调,也可以再接上扬声器之后,就能发出相应频率的声音。同时,若能控制每个音符的持续时间,也就控制了乐曲的节奏,因此只需要控制输出到引脚的信号频率的高低以及每个信号持续的时间长短,即可实现乐曲的产生。

问题2:音符的获得方法和乐曲节奏的控制

所有不同的频率信号都可以从同一个系统基准频率分频而来,可以通过预置不同频率的音符信号,通过系统基准频率值计算得到分频系数,提供给之后的节奏使用。每个音符持续的时间是乐曲能连续播放的另一个要素。设全音符的持续时间为1s,则2分音符的持续时间为0.5s,4分音符的持续时间为0.25s。如果采用1MHz的频率作为系统基准频率,则

需要对1MHz信号进行250000次分频,得到4Hz信号作为一个4分音符的频率。对于其他占用时间较长的节拍,则只需要将该音符连续输出相应遍数即可。

问题3:16路彩灯控制原理

根据系统设计要求,整个模块分为控制彩灯节奏的输入信号和16个输出信号,分别用于控制十六路彩灯。利用状态机实现了7种花型的变化,同时利用六十六位常数的设计,可方便地设置和修改花型。

解决方案:

1.乐曲播放功能设计

根据乐曲发声的基本原理,乐曲播放模块的设计思路是通过1MHz时钟分频获得音符节拍频率,通过查音符频率表获得音符分频系数,作为音频分频模块分频的依据,将1MHz 时钟频率分频获得合适的音符频率,输出至音频播放模块。节拍控制分频模块主要用于产生乐曲节拍,控制乐曲播放的节奏,1MHz的系统频率经过250000次分频,可以得到4分音符持续时间的频率。乐曲长度计数器在节拍控制频率信号的作用下进行乐曲音符的顺序计数。乐曲模块中存放的是各个乐曲音符的分频值。音符分频器根据乐曲模块中存储的各个音符的分频系数来完成对1MHz系统频率的分频,输出相应的音频信号。

2.乐曲弹奏功能设计

根据乐曲弹奏的工作原理,乐曲弹奏主要由键盘扫描模块、分频置数模块、音符分频器、输出模块构成。其中,键盘扫描模块的设计思路是在系统时钟控制下循环给出键盘扫描信号,然后根据扫描信号和对应的键盘响应信号确定键盘按键位置,再将相应的值送到下一个处理模块。这里采用列扫描方式,由FPGA输出键盘的列输入信号,采集键盘的行输出信号。键盘扫描模块可以采用状态机的设计方法,用四个状态完成对键盘的四列扫描,确定每一列的输入;第五个状态用于键值处理状态,根据扫描结果判断按键是音符输入还是高低音的选择,以及长时间无按键时停止音频输出,对长时间按同一键按一次键处理等。

3.彩灯电路功能设计

系统的工作原理是,通过时序控制电路根据输入信号产生符合一定要求的、供显示控制电路使用的控制时钟信号,而显示控制电路则根据时序控制电路输入的控制时钟信号,输出六种花型循环变化的、控制十六路彩灯工作的控制信号,这些控制信号加上驱动电路一起控制彩灯工作。

4、系统结构:

系统结构框图:

模块功能描述:

根据设计要求,要求系统既可以播放乐曲,又可以弹奏乐曲,同时彩灯的变化都是随着音乐的变化而显现不同的花型,可以通过设置一个功能选择键将两者分开。其中乐曲播放模块应包含节拍控制分频模块、音符频率输出模块、乐曲存储模块;乐曲弹奏模块应包含键盘扫描模块、音符频率输出模块。还有一个彩灯显示模块。

乐曲播放模块

乐曲播放模块的设计思路是通过1MHz时钟分频得到音符节拍频率,通过查表方式获取音符节拍频率分频系数,作为音符分频器的依据,将1MHz时钟分频获得合适的音符频率,输出至音频输出。

节拍控制分频模块主要用于产生乐曲节拍,控制乐曲的播放节奏。

乐曲长度计数器在节拍控制频率信号的作用下进行乐曲音符的顺序计数。

乐曲模块中存放的是乐曲各个音符的分频值。

音符分频器根据乐曲模块中存储的各个音符的分频系数完成对1MHz系统频率的分频,输出相应的音频信号。

乐曲弹奏模块和彩灯显示模块

乐曲弹奏和彩灯显示主要有键盘扫描模块、分频系数模块、音符分频器和彩灯显示电路构成。

其中,键盘扫描模块的设计思路是在系统时钟控制下循环给出键盘扫瞄信号,然后根据扫描信号和对应键盘响应信号确定键盘按键位置,再将相应键值的段码输出。

分频系数模块用于确定输入音符对应的分频数。

音符分频器根据分频系数模块提供的分频系数完成对1MHz系统频率的分频,输出相应的频率信号。

彩灯显示模块根据输入的信号,对应输出不同花型的彩灯信号。

模块接口标注:

5、状态流程图:系统工作状态流程

6、各主要模块仿真结果波形

各模块的仿真波形,详细注释输入输出功能端口

1.乐曲播放模块仿真

电路设计中,系统时钟clk采用1MHz的工作频率。为了便于观察仿真的结果,这里将节拍控制分频模块4分音符的分频数由250000暂时调整为16,循环播放3个音符,且这三个音

符的分频系数一次为2、4、8。仿真波形如下,从图中可以看出,节拍控制分频模块的4分音符频率输出clk_4Hz确为系统基准频率clk的16分频,且在clk_4Hz信号节拍的控制下,out_sound依次输出为对clk的2分频、4分频和8分频信号。

2.键盘扫描及显示模块仿真

为了便于观察仿真结果,将键盘扫描频率暂时调整为8个时钟信号clk,仿真前设定行输入信号KBcol为“1110”,仿真结果如图所示,可以看出当列扫描信号KBrow一次扫描输出“1110”、“1101”、“1011”、“0111”,及对应这3、2、1、0行各个按键信息。

3.乐曲弹奏模块仿真

为了便于观察仿真的结果,键盘扫描频率采取上述加键盘扫描及显示模块仿真中的扫描频率,这里取中音1和低音1的仿真来验证模块的功能。

4.彩灯显示电路模块仿真

彩灯显示电路是随着音乐的播放而出现不同变化花型的电路,这里仿真用的是自动循环播放

乐曲来验证模块的功能。

7、课程设计总结

通过此次数字系统课程设计自己觉得最大的体会是体会了独立设计一个小型系统的整

个过程,为今后自己在实际应用于开发系统的发展方向提供了一个很好的锻炼的机会。

这次我设计的题目是音乐彩灯控制电路。就如同题目所涵盖的意思一样,我的设计系统

是一个可以随着音乐的播放而彩灯自动变化花型的数字电路系统。这个系统是通过FPGA

来设计实现的。系统分为两大部分,一部分是音乐产生电路,一部分是彩灯显示电路。音乐

产生电路又有两种区分,一种是自动播放音乐,一种是可以通过键盘来弹奏音乐。

最终实现的设计已经大部分实现了我设计中谈及到的功能。系统中设计了开始按钮,可

以开启系统运行。可以有音乐播放的选择按钮,通过不同的选择,可以播放不同的音乐。同

时也可以通过键盘按键来弹奏乐曲。键盘设计了A、B、C分别代表高音、中音和低音。用

数字1-7代表7个音符。在播放音乐的同时,可以选择是否开启彩灯变化电路,开启电路时,彩灯的变化是随着音乐的变化而呈现缤纷多彩的变化的。当然彩灯的变化也有两种选择方式:普通模式与动感模式。总体来说,最终完成的设计和预期的目标基本相同。

在设计中还可以提高和改进的地方主要有彩灯变化的方式。在最终完成的设计成品中彩灯的变化花型还是过于单一。在设计中可以试着将列选进行扫描,最终使得彩灯的变化可以实现输出字或者图案等花型。相信在以后的过程中,可以在这个方面进行更多的设计思考。

最后谈一点设计体会。通过此次的课程设计,最直接的受益是学会了一门数字电路设计语言—VHDL语言。通过在设计的过程中的整个立项和实施过程的体会是最重要的。可以说从对VHDL语言的不了解到通过查阅各种资料来学习VHDL语言的语法和编程技术,这本身便是一个挑战与学习的过程,也正是有了这样一个课程设计,才使得我能够迅速地掌握了VHDL语言的编程入门。当然令我映像深刻的还有的是调试的过程。因为也许程序编写没有问题,但是在调试的过程中也许会出现很多意想不到的问题,正是在这种不断调试中才能发现更多的问题。

所以通过这一次的数字系统课程设计,使我受益匪浅。

8、参考文献

1.电子设计自动化(EDA)课程设计与项目实例李莉路而红编著

2.数字逻辑EDA设计与实践刘昌华编著

3.EDA及技术实验与课程设计曹昕燕周凤臣聂春燕编著

9.源程序

Music.vhdl

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity music is

generic

(

mid1 : integer range 1000 to 4000 :=1911;

--mid1 : integer range 0 to 10 :=1;

mid2 : integer range 1000 to 4000 :=1703;

--mid2 : integer range 0 to 10 :=3;

mid3 : integer range 1000 to 4000 :=1517; --mid3 : integer range 0 to 10 :=7;

mid4 : integer range 1000 to 4000 :=1432; mid5 : integer range 1000 to 4000 :=1276; mid6 : integer range 1000 to 4000 :=1137; mid7 : integer range 1000 to 4000 :=1012;

low1 : integer range 1000 to 4000 :=3823; low2 : integer range 1000 to 4000 :=3405; low3 : integer range 1000 to 4000 :=3034; low4 : integer range 1000 to 4000 :=2863; low5 : integer range 1000 to 4000 :=2551; low6 : integer range 1000 to 4000 :=2273; low7 : integer range 1000 to 4000 :=2025;

high1 : integer range 100 to 4000 :=956; high2 : integer range 100 to 4000 :=851; high3 : integer range 100 to 4000 :=758; high4 : integer range 100 to 4000 :=716; high5 : integer range 100 to 4000 :=638; high6 : integer range 100 to 4000 :=568;

high7 : integer range 100 to 4000 :=506

);

port

(

clk : in std_logic;

start : in std_logic;

out_sound : out std_logic;

sel : in std_logic;

sound : out std_logic_vector(2 downto 0)

);

end music;

architecture behav of music is

signal clk_4Hz : std_logic;

signal count : integer range 0 to 4096;

signal freq : integer range 0 to 4096;

signal counter : integer range 0 to 100;

signal max_250000 : std_logic_vector(17 downto 0); --signal max_250000 : std_logic_vector(3 downto 0);

begin

process(start,clk)

begin

if start='0' then

clk_4Hz<='0';

max_250000<="000000000000000000";

--max_250000<="0000";

elsif clk'event and clk='1' then

if max_250000>="111101000010001111" then

--if max_250000>="1111" then

max_250000<="000000000000000000";

--max_250000<="0000";

else max_250000<=max_250000+1;

end if;

if max_250000="000000000000000000" then

--if max_250000="0000" then

clk_4Hz<='1';

else clk_4Hz<='0';

end if;

end if;

end process;

process(clk_4Hz,start)

begin

if start='0' then

counter<=0;

elsif clk_4Hz'event and clk_4Hz='1' then if counter>=21 then

--if counter>=7 then

counter<=0;

else

counter<=counter+1;

end if;

end if;

end process;

process(counter)

begin

case counter is

--when 0=>freq<=mid1;sound<="001"; --when 1=>freq<=mid2;sound<="010";

--when 3=>freq<=mid4;sound<="100"; --when 4=>freq<=mid5;sound<="101"; --when 5=>freq<=mid6;sound<="110"; --when 6=>freq<=mid7;sound<="111"; --when 7=>freq<=high1;sound<="001";

when 0=>freq<=low5;sound<="101"; when 1=>freq<=mid1;sound<="001"; when 2=>freq<=mid1;sound<="001"; when 3=>freq<=mid1;sound<="001"; when 4=>freq<=mid1;sound<="001"; when 5=>freq<=mid3;sound<="011"; when 6=>freq<=mid2;sound<="010"; when 7=>freq<=mid1;sound<="001"; when 8=>freq<=mid2;sound<="010"; when 9=>freq<=mid3;sound<="011"; when 10=>freq<=mid1;sound<="001"; when 11=>freq<=mid1;sound<="001"; when 12=>freq<=mid3;sound<="011"; when 13=>freq<=mid5;sound<="101"; when 14=>freq<=mid6;sound<="110";

when 16=>freq<=mid6;sound<="110"; when 17=>freq<=mid5;sound<="101"; when 18=>freq<=mid3;sound<="011"; when 19=>freq<=mid3;sound<="011"; when 20=>freq<=mid1;sound<="001";

when others=>freq<=0;sound<="000"; end case;

end process;

process(clk,start,sel)

begin

if sel='0' then out_sound<='0';

else

if start='0' then

count<=0;

out_sound<='0';

elsif clk'event and clk='1' then

if freq=0 then out_sound<='0';

elsif count=0 then

count<=freq;

out_sound<='1';

else

count<=count-1;

out_sound<='0';

end if;

end if;

end if;

end process;

end behav;

piano.vhd

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity piano is

port

(

clk,start : in std_logic;

KBCol : in std_logic_vector(3 downto 0);

KBRow : out std_logic_vector(3 downto 0);

out_sound : out std_logic;

seg4 : out std_logic_vector(3 downto 0);

sel : in std_logic;

--key : out std_logic_vector(4 downto 0); sound : out std_logic_vector(2 downto 0)

);

end entity;

architecture behav of piano is

signal state : std_logic_vector(2 downto 0);

signal clk1 : std_logic;

signal d : std_logic_vector(4 downto 0);

signal d0,d1,d2,d3,d_reg : std_logic_vector(4 downto 0);

signal snote : std_logic_vector(4 downto 0);

signal sscal : std_logic_vector(1 downto 0);

signal cnt,scnt : std_logic_vector(13downto 0); signal ctrln : std_logic_vector(2 downto 0);

signal count,freq : integer range 0 to 4096;

constant low1 : integer :=3822; constant low2 : integer :=3405; constant low3 : integer :=3034; constant low4 : integer :=2863; constant low5 : integer :=2551; constant low6 : integer :=2273; constant low7 : integer :=2025; --constant mid1 : integer :=2; constant mid1 : integer :=1911; constant mid2 : integer :=1703; constant mid3 : integer :=1517; constant mid4 : integer :=1432; constant mid5 : integer :=1276; constant mid6 : integer :=1137; constant mid7 : integer :=1012; constant high1 : integer :=956; constant high2 : integer :=851; constant high3 : integer :=758; constant high4 : integer :=716; constant high5 : integer :=638; constant high6 : integer :=568;

constant stop : integer :=0;

begin

--clk1<=cnt(9);

--process(clk)

--begin

--if clk'event and clk='1' then

--cnt<=cnt+1;

--end if;

--end process;

process(start,clk)--扫描时钟100Hz,由1MHz分频begin

if start='0' then

clk1<='0';

cnt<="00000000000000";

elsif clk'event and clk='1' then

if cnt>="10011100001111" then

cnt<="00000000000000";

else

cnt<=cnt+1;

小彩灯控制电路设计

实验5 彩灯控制电路 一、实验目的 1. 掌握彩灯控制电路的设计和实现; 2.综合运用所学器件进行简单电路的设计; 3.熟练掌握74LS00、74LS86、74LS90、74LS138的综合应用。 二、实验设备 1、函数信号发生器 2、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS86 5、集成电路:74LS90 6、集成电路:74LS138 7、发光二级管、电阻、开关等 三、实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号:S1S0= 00 灯全灭; S1S0=01 右移,循环显示; S1S0=10 左移,循环显示; S1S0=11 灯全亮。 四、实验结果 1.彩灯控制电路简单的系统框图介绍: ↓ ↓

↓ 2.详细设计思路: S1S0每个状态下4个彩灯有四种状态变化,用74LS90产生脉冲,按照四进制接法,接入74LS138作为74LS138芯片的驱动信号,然后进行译码操作,从而实现彩灯的控制电路的设计,下面列出该实验的真值表 其中:QA、QB为74LS90的输出端,G1为74LS138的控制端,A、B、C为输入端,Y0-Y7为输出端,X0、X1、X2、X3为四个彩灯的状态显示,0表示灭,1表示亮。 3.彩灯控制电路逻辑真值表: 彩灯控制电路的真值表

4.由此可以得到相应的逻辑关系如下: C=S1 B=QA A=QB G1=S1⊕S0 X0=Y0+Y4+S1S0 X1=Y1+Y7+S1S0 X2=Y2+Y6+S1S0 X3=Y3+Y5+S1S0 5.实验仿真电路图如下所示: (1)其中函数信号发生器设置为方波,1Hz; (2)开关S1中上面为S1,下面为S0,左拨为0,右拨为1; (3)四个彩灯使用红色发光二极管显示,从左到右的循环等价于图中从上到下的循环,从右到左的循环等价于图中从下到上的循环显示,另外每个二极管各添加了一个500欧的电阻来限制电流,防止二极管烧坏。

基于89c51单片机控制的LED音乐彩灯控制器的设计说明

基于89c51单片机控制的LED音乐彩灯控制器的设计 随着人们对房屋的装饰需要彩灯,在许多城市可以看到彩色霓虹灯。LED彩灯由于其丰富的 灯光色彩,便宜的造价以及简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建础物已经成为一种时尚。但目前市场上各种各样的LED彩灯控制器大多数用全硬件电路实现, 电路结构复杂、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性…… 本方案提出一种基于8951 单片机的彩灯控制方案,实现对LED 彩灯的控制。本方案以8951单片机作为主控核心,在主控模块上设有3个按键和8个LED显示灯,根据需要可以 编写若干种亮灯模式,利用其部定时器TO实现一个基本单位时间为1ms的定时中断,根据 各种亮灯时间的不同需要,在不同时刻输出灯亮或灯灭的控制信号,然后驱动各种颜色的灯亮或灭。与普通LED彩灯相比,具有体积小、价格低、功耗低等优点。 2 系统功能 LED彩灯用软件控制硬件的方式来控制彩灯闪烁,即彩灯控制器和管LED模块。彩灯采 用8951提供+ 5V电压工作,经过电源变换,输出直流工作电压,一方面为管LED模块提供 + 5V工作电源,另一方面为主控模块单片机系统彩灯控制器提供5V工作电源。整个系统工 作由软件程序控制运行,根据需要用户可以在LED彩灯工作时通过主控模块上的按键来设定亮灯时间和灯光闪动频率。 上电后系统经过初始化,查询是否有功能切换键按下:有,则进入设定模式状态;无,则进入默认工作状态。在设定模式状态下,可以根据个人爱好及不同场合的需要来指定调用哪种模式,并且可以改变每种模式的时间T1、频率F1参数,在工作状态下,LED彩灯控制器按照程序设定好的若干亮灯花样模式程序顺序调用往下走,开始工作,依次8个等亮完为 一个亮灯周期,然后再回主循环继续工作,同样如果想进入其它设定模式状态,只需按下功能切换键即可。整个3 种亮灯模式时间可以看作一个大周期T, 对于每一个模式编写一个独立工作子程序,其中设定了从左到右点亮LED,从右到左点亮LED,还有自己定义的LED点 亮方式。 因此在LED彩灯上电工作后,可以方便地通过主控模块上的显示器指定LED彩灯当前 工作模块,工作时间Ti ,频率Fi 等实时参数。若实际应用需要根据不同场合和时间来改变彩灯闪亮效果,可以通过主控模块上的按键来设定LED不同的闪烁频率Fi和亮灯时间Ti , 以便符合实际需要。此外如果对某一种模式感兴趣需要仔细观看该种亮灯模式, 可以让程序 循环。 硬件设计 LED彩灯系统包括,即LED彩灯控制器(8951主控模块),LED彩灯在8951外部接一个震荡时钟频率。用8951的控制器,具有按键、显示等功能,并利用8951的P0 口的8个输 出端上面焊有8个LED彩灯,使用彩灯在软件的控制下工作。 主控模块电路设计 主控模块电路如图1所示。主控模块主要设计器件有89C51, 8个LED显示器,3个开 关按键,1个稳压器(5V电压),1个外部晶振振荡器信号输出驱动,4个电阻。通过软件设 计,使单片机P0 口作为三色LED驱动信号输出口及移位时钟CLOCK!号,8951的P0为LED 的输出口。 软件设计 LED彩灯控制器最大特点在于所有亮灯模式均由软件控制完成。系统中软件可以分为主 程序和中断服务子程序。上电后在按键控制灯的闪烁方式, 通过软件来控制循环, 以一个单位实际1ms的TO定时为中断服务子程序。在这个1ms的TO定时基础上,可以根据需要来确定各种模式工作时间Ti,以及确定在各种亮灯点亮和熄灭各种状态LED灯等。整个系统软 件由程序、各种模式子程序、1ms中断服务子程序、调用循环程序组成。利用TO定时器作

可编程彩灯控制器课程设计报告

前言 电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它不但能巩固我们已所学的电子技术的理论知识,而且能提高我们的电子电路的设计水平,还能加强我们综合分析问题和解决问题的能力,进一步培养我们的实验技能和动手能力,启发我们的创新意识几创新思维。 现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成等。 编者:周滨 2009年1月

目录 一、课题名称 (1) 二、设计目的 (1) 三、设计要求 (1) 四、设计方案 (1) 五、基本原理框图 (4) 六、模块设计思想 (5) 七、所需元器件 (8) 八、实际操作 (9) 九、具体电路 (10) 十、电路图设计 (10) 十一、安装与调试 (10) 十二、存在的不足与新设想 (11) 十三、心得与体会 (11) 十四、参考资料 (13) 附件一 (13) 附件二 (14) 附件三 (14)

一、课题名称 可编程彩灯控制器 二、设计目的 电子课程设计是电类专业学生重要基础实践课是工科专业的必修课。经过查资料、选方案、设计电路、撰写设计报告、使学生得到一次较全面的工程实践训练。理论联系实际,提高和培养创新能力,为后续课程的学习,毕业设计,毕业后的工作打下基础。 学习电路的基本设计方法;加深对课堂知识的理解和应用。完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡;学会设计报告的撰写方法。 三、设计要求 1、设计脉冲产生电路、图形控制电路和存储电路; 2、用发光二极管行队列(1×16)作为显示电路,显示内容的动面感 要强; 3、能用按键切换不同的显示组合,至少有3个按键切换; 4、每种组合至少有3种变化,每种组合内图形能连续循环; 5、要有数码管显示当前是第几种组合(或是第几个按键); 6、图形显示间隔(显示频率)至少有3种可选; 7、控制器可有2种控制方式: (1)规则变化:变化节拍有0.5秒和0.25秒,交替出现,每种节拍可有多种花样各执行1或2个周期后轮换;彩灯变化方向有单向移动和双向移动、跳跃移动等; (2)随机变化。变化花样相同,但节拍和花样的轮换随机出现。 四、设计方案 (一)设计思路 通过对硬件编程,将各种图形存储在EEPROM中,通过计数器控制各种图形的地址,再利用显示点阵显示出来。系统所显示的内容可反复循环,直至加电清零,便可以回到初始画面。

《数字电路》课设彩灯循环控制电路设计

《数字电子技术课程设计》报告 ——彩灯循环控制电路设计 摘要 本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。 用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,与其相连接的LED会变亮。LED采用共阳极连接,并串上500Ω的电阻。电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。 电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。整体电路采用同步电路模式,采用TTL集成电路,电压V 均为5V。运用了所学的555定时器、译码器、计数器与逻辑门 cc 电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识与理解,在

实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,有利于今后对于专业课程的学习。 关键词:555定时器计数器译码器彩灯循环控制

8个彩灯控制电路设计

课程设计(论文) 题目名称8个彩灯控制电路设计 课程名称单片机原理及接口技术 学生姓名何辉 学号0941201058 系、专业电气工程系测控类 指导教师杨波 2011年6 月25 日

邵阳学院课程设计(论文)任务书 年级专 业 09级电气工程系学生姓名何辉学号0941201058 题目名称8个彩灯控制电路设计计时间2011年6月7日—2011 年7月3日 课程名称单片机原理及在 电气测控学科中 的应用 课程编号121200105 设计地点 数字控制与PLC实验 室\创新实验室 (214)(305) 一、课程设计(论文)目的 通过课程设计,进一步熟悉和掌握AT89S51单片机的结构及工作原理,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,通过此综合训练,为以后毕业设计打下一定的基础。为今后从事相应打下基础。 二、已知技术参数和条件 1、系统电路的构成用AT89S51单片机和中规模集成芯片,及电子元件。 2、WA VE 软件或KEIL 软件编译 三、任务和要求 任务: 用AT89S51单片机设计设计一个8个彩灯控制电路。 要求: 1 从左到右排列,编号为1~8号。系统启动后,灯管点亮的顺序依次为:1号→2 号→3号→...→7号→8 号,时间间隔为1S。8根彩灯全亮后,持续10S。然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺 序熄灭,时间间隔仍为1S。灯管全部熄灭后,等待2S,再重新开始上述过程的循环。 2、用proteus仿真 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

音乐彩灯控制电路数电FPGA设计(含代码)

音乐彩灯控制电路 1、申请题目:音乐彩灯控制电路 采用4×4矩阵式键盘作为电子琴按键输入,用FPGA设计一个既可以区分不同音高和音阶进行乐曲的弹奏,又可以自动播放乐曲的音乐电路。同时,在播放音乐的同时,点阵板上的彩灯会随着不同的音乐、不同的音阶、音调而出先不同的花型变化。按键音符可以通过数码管显示出来。 2、项目规划: 音乐演奏电路,既有通过键盘自由弹奏,又可以播放内存乐曲(播放的乐曲由设计者自由编辑,以简谱二进制码方式存放在ROM的数据文件中)。 彩灯显示电路,在音乐播放的同时,根据音乐节拍的不同,16路彩灯进行各种花型的变化,彩灯的亮灭有多种不同的模式,可以根据八个拨码开关来进行设计,进行自由组合搭配。不同的音乐对应不同的彩灯模式,每种模式执行16拍后依次循环改变,当音乐停止时,彩灯的变化也停止。 设置启动、停止控制键,可以结束音乐的播放和彩灯变化。 设置选择音乐播放键,共存储了3首音乐,可以通过选择键进行选择播放具体不同的音乐。 设置了彩灯变化选择按钮,有普通彩灯和动感彩灯两种方式进行选择,可以通过按键进行选择。 设计采用音乐引入控制彩灯变化方式。用4×4矩阵式键盘作为按键输入,16×16的LED 点阵板作为彩灯输出。可以通过按键选择弹奏乐曲和播放乐曲两种模式。点阵板上彩灯的变化随着乐曲的不同而进行不同的变化。在播放过程中可以按键进行系统的停止和启动运行。同时也可以通过扬声器将音乐播放出来。 3、实现方案: 问题1:乐曲的发声原理 组成乐曲的2个基本要素是每个音符的发声频率值和它持续的时间,可以利用程序控制FPGA某个引脚输出一定频率的矩形波,便可以得到某个音符的音调,也可以再接上扬声器之后,就能发出相应频率的声音。同时,若能控制每个音符的持续时间,也就控制了乐曲的节奏,因此只需要控制输出到引脚的信号频率的高低以及每个信号持续的时间长短,即可实现乐曲的产生。 问题2:音符的获得方法和乐曲节奏的控制 所有不同的频率信号都可以从同一个系统基准频率分频而来,可以通过预置不同频率的音符信号,通过系统基准频率值计算得到分频系数,提供给之后的节奏使用。每个音符持续的时间是乐曲能连续播放的另一个要素。设全音符的持续时间为1s,则2分音符的持续时间为0.5s,4分音符的持续时间为0.25s。如果采用1MHz的频率作为系统基准频率,则

音乐彩灯控制器课程设计

1. 引言 音乐彩灯控制器是用音乐信号控制多组颜色的灯泡,利用其亮度变化来反映音乐信号,一种将听信号转化为视信号的装置。该控制器具有渲染气氛的作用,增强人们欣赏能力。 2 设计任务与要求: 2.1任务: 1. 设计一种组合式彩灯控制电路,该电路由不同控制方法的彩灯所组成, 采用不同颜色的发光二极管作课题。 2.第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 3.第二按音律的强弱(信号幅度大小)控制彩灯。强音时,灯的亮度加大, 且被点亮的数目增多。 4.第三路按音调高低(信号频率高低)控制彩灯。低音时,某一部分灯点 亮;高音时,另一部分点亮。 2.2要求: 1、综合运用电子技术课程中所学的理论知识完成课程设计。 2、通过查阅手册和文献资料,提高独立分析和解决实际问题的能力。 3、熟悉常用电子器件的类型和特征,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能。 5、进一步熟悉电子仪器的正确使用。 3 设计思路: 根据课题要求,可将控制器分为三部分来实现: (1)由于音乐的节奏是具有一定时间间隔的节拍脉冲信号。因此,可采用计数、译码驱动电路构成节拍脉冲信号发生器,使相应的彩灯按节奏点亮和熄灭。(2)将声音信号变成电信号,经过放大、整流滤波,来点亮彩灯,以实现声音信号强弱的控制。 (3)采用高、低通有源滤波电路来实现高、低音对彩灯的控制。 4 设计原理:

如图2.1所示,控制器包括声、电转换和放大电路、时钟脉冲发生器、记数电路和控制电路等。它控制四路彩灯伴随乐曲而闪烁发光。 拾音话筒控制MIC将乐曲声响转变为电信号,经VT1~VT4加至四路模拟开关IC3(CD4066)。555和RP1、R1、R2、D1、C1等组成无稳态多谐振荡器 t(通)=0.693(RP1+R1)C1 t(放)=0.693R2C1 T=0.693(RP1+R1+R2)C1 图示参数的振荡周期T在0.5~5秒范围内变化。555的3脚输出加至IC2作为CP脉冲.IC2采用CMOS型十进制计数器/脉冲分配器CD4017,在时钟CP作用下,Q0(3脚),Q1(2脚),Q2(4脚),Q3(7脚),Q4(10脚)相继出现高电平脉冲,而Q4加至复位端R(15脚),使电路成为一个环形计数电路。Q0~Q3的初期依次将CD4066四模拟开关选通,使乐曲信号依次加至VT5,VT6,VT7,VT8,并依次导通,SCR1~SCR4依次触发导通,彩灯插座依次有电,彩灯顺序点亮,随着悠扬的音乐,彩灯闪烁生辉。 图2.1 音乐彩灯控制电路

节电子技术课程设计报告日音乐彩灯连

河南机电高等专科学校电子技术课程设计报告设计课题:节日音乐彩灯连

题目:节日音乐彩灯连 一、设计任务与要求 选取一种方法设计音乐彩灯控制器,要求该音乐彩灯控制器电路由三路不同控制方法的彩灯所组成,采用不同颜色的发光二极管作课题实验。 1.第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 2.第二路按音量的强弱(信号幅度大小)控制彩灯。强音时,灯的亮度加大,且灯被点亮的数目增多。 3.第三路按音量高低(信号频率高低)控制彩灯。低音时,某一部分灯点亮;高音时,另一部分灯点亮。 二、方案设计与论证 1、设计思路 根据课题要求,可将控制器分为三部分来实现。 1.由于音乐的节奏是具有一定时间间隔的节拍脉冲信号。因此,可采用记数、译码驱动电路构成节拍脉冲信号发生器,使相应的彩灯按节奏点亮和熄灭。2.将声音信号变成电信号,经过放大、整流滤波,来点亮彩灯,以实现声音信号强弱的控制。3.采用高、低通有源滤波电路来实现高、低音对彩灯的控制。 2、总体方案方框图

3、方案一:简单声控音乐彩灯控制器 图2低成本声控音乐彩灯 简单声控音乐彩灯控制器的电路如图2所示,R1、R2、D和C组成电阻降压半波整波电路,输出约3V的直流电供SCR的控制回路用。压电陶瓷片HTD担任声-电换能器,平时调W使BG集电极输出低电平,SCR关断,彩灯不亮。当HTD接收到声波信号后,BG集电极电平升高,SCR即开通,所以彩灯能随室内收音机播出的节目的音乐节奏而闪烁发光。W可用来调节声控灵敏度,W由大调小时,声控灵敏度愈高,但W过小时,电灯常亮,这时就失去声控作用,使用调试时,将W由大逐渐调小至某一阻值时,电灯即点亮,再将W退回少许(即稍微调大),电灯就熄灭,这时声控灵敏度最高,离HTD二三米远处普通谈话声就能使彩灯闪烁。如嫌灵敏度太高,只要将W调大些即可,电灯长亮不熄,表示BG的放大倍数β值过小,应更换β大些的三极管。电阻均为1/8W碳膜电阻。 方案二:音乐彩灯控制器 如附录所示为实用音乐彩灯控制电路。该控制器由声电转换和放大电路、时钟脉冲发生器、计数电路和控制电路等组成。拾音话筒MIC将声音信

音乐彩灯控制器(完整版)

摘要 电力电子技术产业作为当代高新技术尤其是信息技术产业与传统产业的接口,在国民经济中扮演着越来越重要的角色。此设计论述了彩灯的总体控制,彩灯将会随着音乐的节奏闪亮,大大的改善了人们的娱乐环境,人们将在音乐和灯光当中消除工作一天的疲惫,并且彩灯的控制不需要人为的操作控制,将会完全自动的运行,使人们感受到了娱乐场所的智能化,人性化。此设计采用了平时常用的集成电路,包括时钟电路、阶梯波电路、滤波器等等,将会很清晰的呈现出它的工作原理,它是电子技术的实例应用。关键词:电子技术音乐彩灯集成电路。II Abstract As the joint between the present hi-Tech industry especially the informationtechnology industry and tradition industry, power electronics industry has been playing amore and more important role in the country economy. Although this industry in Chinahas made some progress through developing more than 30 years, compared with thedeveloped countries the gap is still quite big. This industry can"t meet the demands of thecountry economy development in china. Constituting a series of systemic and scientificdeveloping strategy to speed this industry is the purpose of this paper. The importanteffects of this industry on country economy are discussed, the shaping and developingcondition of this industry is analyzed, and the advantages and disadvantages of thisindustry in China are pointed in this paper. On the basis of referring the advancedexperience of developed countries. keyword: electronic technique music illumination unicircuit

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

循环彩灯数电课程设计

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名: 学号: 专业班级: 指导教师: 2011年5月25日

课程设计任务书

循环彩灯 摘要:本次循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。 关键词:循环彩灯555定时器74LS19274LS138

目录 1.设计背景 (4) 1.1了解数字电路系统的定义及组成 (4) 1.2掌握时钟电路的作用及基本构成 (4) 2.设计方案 (4) 2.1总体设计路 (4) 2.2电路框图 (4) 3.方案实施 (5) 3.1 555定时电路产生始终脉冲 (5) 3.2 74LS38与74LS92功能 (6) 3.3 电路仿真 (8) 3.4 PCB板制作 (9) 4.结果与结论 (10) 4.1电路调试 (10) 4.2 理论值与实际值 (11) 5.收获与致谢 (11) 6.参考文献 (13) 7.附件 (13)

附录1 (13) 附录 2 (13) 1. 设计背景 1.1了解数字电路系统的定义及组成 数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。 1.2掌握时钟电路的作用及基本构成 时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的 555 多谐振荡电路,数字频率计中的基准时间形成电路等都属于时钟电路。设计时钟电路,应根据系统的要求首先确定主时钟的频率,并注意与其他控制信号结合产生系统所需的各种时钟脉冲。 2 设计方案 2.1 总体设计思路 循环彩灯的设计制作由555定时器、74LS192、74LS138两种芯片构成的中规模集成电路来实现,其中555定时器组成的多谐振荡电路震荡周期为1秒,74LS192实现八进制加法计数(从0000到0111),74LS138实现对应八个LED彩灯译码功能。

数电实训彩灯控制电路设计

桂林电子科技大学信息科技学院《数字逻辑电路》实训报告 学号 1051100425 姓名 指导教师:邹老师覃老师 2010 年 07 月 13 日

多路彩灯控制电路 1.整机设计 1.1 设计要求 (1)功能要求:八个彩灯用8个放光二极管代替; (2)设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能; (3)彩灯亮点移动时间间隔取1秒; (4)彩灯的布图形状随意; (5)让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.1 设计任务 通过查找资料设计彩灯的原理图﹑PCB图使其能实现全亮﹑全灭﹑左移﹑右移等功能,让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.2 性能指标要求 彩灯亮点的时间间隔为1秒,占空比为50% 1.2 整机实现的基本原理及框图 1.2.1 基本原理 通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路其主要原理框图如下: 1.2.2 总体框图 总体框图 2.各功能电路实现原理及电路设计 (1)彩灯演示电路 2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电

阻(保护发光二极管)和八个发光二极管。其电路图如下 彩灯演示电路图 (2)彩灯控制电路 移位寄存器是一个具有移位功能的寄存器。寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的叫双向移位寄存器。根据移位寄存器存取信息的方式不同分:串行串出,串入并出,并入串出,并入并出4种形式。 本电路由2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电阻(保护发光二极管)和八个发光二极管和一片74LS04(控制彩灯循环亮的作用)和拨码开关控制输入的高低电平。其图如下: 彩灯控制电路图

音乐彩灯控制器设计-课程设计(1)

课程设计说明书题目:音乐彩灯控制器 院(部):理学院 专业班级:应用物理14-1 学号: 2014305070 学生姓名:王伟洲 指导教师:李学超

安徽理工大学课程设计(论文)任务书理学院(部)物理系

前言 随着现在社会的发展,人们生活水平的提高,人们对娱乐环境的要求越来越高,娱乐环境中的灯光控制,成了一个重要的部分。为此,特意设计了关于音乐彩灯的控制。本设计要求将输入音乐信号分为高,中,低三个频段,并且分别控制三种颜色的彩灯.每组彩灯的亮度随各自输入音乐信号大小分为八个等级.输入信号最大时,彩灯最亮.当输入信号的幅度小于10mv时,要求彩灯全亮。

第一部分:实验原理部分 (一).设计框图及电路系统概述 设计框图: 电路系统概述: 1.声音信号要分为三个频段,所以第一步要通过滤波器进行滤波,将音频信号按要求分为三个频段。 2.经过放大器把毫伏级的声音信号放大为与比较信号可比的信号。由于直流信号才可比较,所以在进入比较器前先进行整流。 3.同步脉冲通过简易的数模转换产生阶梯波,放大后的信号与其比较产生高低电平,再和同步脉冲相与产生个数不同的脉冲去触发三极管,由触发脉冲的个数决定彩灯的亮度。 4.如果音乐信号小于10mV,用比较器产生高电平使或门的输出总为高电平,产生的高电平与1HZ的脉冲信号进行与,从而使灯亮暗闪烁。 (二).实验电路结构与分块电路原理 由本实验设计要求可将试验电路基本分为七个组成部分,即 1.电压转换部分 2.语音信号的输入部分 3.基本信号的放大部分 4,滤波选频部分(核心) 5.幅度控制部分 6 .输出显示部分 7.10毫伏比较扩展部分 第三部分:各单元电路的设计方案及原理说明 下面分别从以上几个分块电路说明该彩灯控制器的设计原理与过程. 1.电源电路: 由于实验给出电源为220V交流电,而实验所需芯片的工作电压大致在5-12V,故需要首先设计一个电压转换部分,将220V的交流电转换成5V,12V,相当于一个直流稳压源,以供数字和模拟芯片正常工作。其转换电路如下所示:

循环彩灯电路设计报告

《数字电子技术基础》 课程设计报告 设计题目:彩灯循环控制器的设计 专业: 班级: 姓名: 学号: 指导教师: 设计日期: 2014 年 6 月

课程设计评审意见 (1)设计阶段(30分)——硬件电路运行情况 优()、良()、中()、一般()、差(); (2)报告(60分)——对于课程设计报告撰写的整体评价 优()、良()、中()、一般()、差(); (3)平时表现(10分)——课程设计过程中的表现 优()、良()、中()、一般()、差(); 总评分数: 优()能很好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行全面、系统的总结,并能运用学过的数字电子技术理论知识对某些问题加以分析。态度端正,课程设计期间无违纪行为。 良()能较好地完成数字电子课程设计的任务,制作的电路板达到设计要求,课程设计报告能对设计内容进行比较全面、系统的总结。考核时能较圆满地回答老师提出的问题,态度端正,课程设计期间无违纪行为。 中()能够独立完成课程设计的任务,制作的电路板达到规定的主要要求,课程设计报告能对设计内容进行比较全面的总结,在考核时能正确地回答主要问题,态度端正,课程设计时无违纪行为。 一般()课程设计过程中态度基本端正,能够完成课程设计的任务,提交电路板,能够完成报告,内容基本正确;但不够完整、系统,考核中能回答主要问题。 差()课程设计过程中表现不佳,未能完成课程设计要求的内容。 评阅人: 2014年6月25日注: 优(90-100分)、良(80-89分)、中(70-79分)、一般(60-69分)、差(60分以下)

目录 引言.................................................................. ....... 第一部分:设计方案设计........................................................ 1.1方案选 择:............................................................ 1.2功能设计及分 析....................................................... 1.2.1 时钟信号功能设 计............................................... 1.2.2 花型控制功能设 计............................................... 1.2.3 花型演示功能设计 (3) 1.3 原理图总图及说 明 (4) 第二部分:硬件调试总 结 (5) 2.1 元器件清单及说 明 (5) 2.2 硬件调 试 (9) 第三部分:总 结 (10) 3.1 设计小 结 (10) 3.2 心得体 会 (11) 参考文

广告彩灯控制电路设计课设

目录 引言 (2) 1设计意义及要求 (3) 1.1设计意义 (3) 1.2设计要求 (3) 2方案设计 (4) 2.1设计思路 (4) 2.2方案设计 (4) 2.2.1方案一及其电路图 (4) 2.2.2方案二及其电路图 (5) 2.3方案比较 (6) 3部分电路的设计 (7) 3.1脉冲源的设计电路 (7) 3.2 4017控制电路 (9) 3.3 同右同左控制电路 (11) 3.4 回馈控制电路 (15) 4调试与检测 (16) 4.1调试中故障及解决办法 (16) 4.2调试与运行结果 (16) 5 仿真操作步骤及使用说明 (17) 6元件名细表 (18) 7附录电路图 (19) 8结束语 (20) 本科生课程设计成绩评定表

引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度发展。 在现实生活中,我们经常遇到花样多变的广告彩灯,所谓广告彩灯就是讲一系列有颜色的灯串联在一起,然后按一定次序逐个或者几个的依次点亮和熄灭。因此要设计出这种电路就要应用数字逻辑电路,本次试验依照要求要设计出三行彩灯依次点亮,三列彩灯从右向左移动从左向右移动,所以该课程设计将用到脉冲信号产生电路、4017控制电路、计数电路、译码电路及其他门电路。

广告彩灯控制电路设计 1 设计意义及要求 1.1 设计意义 (1)培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事的科学态度和勇于探索的创新精神。 (2)锻炼学生的自学软件的能力及分析问题、解决问题的能力。 (3)通过课程设计,使学生在理论计算,课程设计、查阅设计资料、标准和规运用和计算机应用能力得到训练和提高。 (4)固化、深化和扩展学生的理论知识与专业技能。 (5)为今后从事电子领域打下坚实的基础。 1.2设计要求 1.现有9只彩灯,试设计一控制电路,要求彩灯能实现如下追逐图案; 2.第一层3只红灯右移,每灯亮的时间为0.8秒; 3.第二层3只蓝灯右移,每灯亮的时间为0.8秒; 4.第三层3只黄灯右移,每灯亮的时间为0.8秒; 5.三色彩灯同时右移,每组灯亮的时间为1.6秒; 6.三色彩灯同时左移,每组灯亮的时间为1.6秒; 7.彩灯控制电路工作状态按照上述2至6步自动重复循环。

基于单片机的音乐彩灯控制器的设计(开题报告)

内江师范学院毕业论文 开题报告 论文题目:基于单片机音乐彩灯控制器的设计 学生姓名XX指导教师 XXX 二级学院工程学院专业名称电子信息工程班级09级2班学号 XXXX XXX年9 月9 日

毕业论文(设计)开题报告 论文题目基于单片机的音乐彩灯控制器的设计 一、选题的背景与意义(本研究的现状综述、理论价值与实际意义) 音乐彩灯是一种被普遍用于娱乐、酒店、饭店酒吧的一种电路装置,我们能在很多场合看到音乐彩灯的应用。 背景:在当今这个社会,音乐彩灯的发展非常广泛,各种娱乐场所,酒店,广场等都采用了彩灯烘托环境的美好。而音乐彩灯能在音乐的节奏下闪耀,更能给客人以全新的感觉。随着电子技术的发展,音乐彩灯有很高的发展前景。 意义:1、相比传统的中小规模集成电路和计算机控制,采用单片机来设计彩灯控制器具有体积小、功耗低、可靠性高、调节灵活等优点。 2、基于单片机的音乐彩灯的控制器有较强的抗干扰能力、低污染、低环境影响、不影响人体且适应性广等优点。对本课题的研究与设计,还能进一步提高自己的电路设计水平,深入对单片机的理解和应用; 二、研究的主要内容和预期目标(研究的框架,要求列到一级提纲) 研究的主要内容: 音乐彩灯控制器主要要求将音乐分成四个不同的频段,将彩灯分为四组,各组彩灯颜色不同,每组彩灯包含两个颜色相同的彩灯。彩灯和音乐的同步控制,用软硬件结合的方法来实现。设计采用AT89S52单片机作为主控芯片,通过3个按键进行数据的输入,编程控制单片机进行音乐播放和彩灯闪烁,并通过小喇叭输出声音,通过LED彩灯和12864液晶屏输出显示。通过软件来控制音乐频率以及发光二级管该亮哪组,亮几盏。在控制彩灯的同时去控制扬声,这样能较好的达到音乐与彩灯的同步控制。 研究的框架: 1 系统的总体设计 2 系统的硬件设计 3 系统的软件设计 4 实验调试 5 设计心得 6 参考文献 7 致谢

声控音乐彩灯课程设计报告

设计题目:声控音乐彩灯 专业电气工程学院 班级 1008班 学号 10291246 学生姓名乔茜 指导教师叶晶晶 提交时间2012年6月29日

目录 一、概述 (2) 1.1目的 (2) 1.2课程设计的组成部分 (2) 二、总结 (5) 3.1课程设计进行过程及步骤 (5) 3.2所遇到的问题,你是怎样解决这些问题的........ 错误!未定义书签。 3.3体会收获及建议 (7)

一、概述 1.1目的 我们都知道,人发出的声音信号中的电压信号是及其微弱的,只是在毫伏级别,而其频率信号一般在几十到几百赫兹,我们希望用声音信号来控制信号灯闪烁的频率,从而可以让大家直观得看到自己说话声音“音符”,如果加上音乐,那么彩灯便会随着音乐的跳动而闪烁出不同的频率。为了实现上述功能,我们首先要将微弱的声音信号放大,然后将声音信号进行降频,降到可以被肉眼分辨出的频率。因此,此电路可以分为三部分:一、电压信号两级放大部分二、频率信号降频部分三、彩灯显示部分 1.2课程设计的组成部分 一:电压信号两级放大部分 这部分我们先用一个电容滤去直流部分,然后通过一个三极管将电压进行第一级放大,再次电容滤去直波,再通过一个三极管放大。经过两次放大后,电压被放大了100倍,这样就将毫伏级别的电压放大到了级别为伏的电压信号,为下一步降频做准备。 以下是第一部分的仿真:

二:频率信号降频部分 电路主要是四个集成芯片CD4017构成。CD4017是十进制计数器/分频器,其内部由计数器及分频器两部分组成,由译码输出现实对脉冲的分配,整个输出时序就是Q0、Q1、Q2、Q3···、Q9依次出现与时钟同步的高电平,宽电平等于时钟周期。 CD4017有3个输入端(MR、CP0和CP1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出Q0为高电平,其余输出端(Q1~Q9)均为地电平.CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号有CP0端输入,若要下降沿来计数,则信号由CP1端输入。 CD4017有10个输出端(Q0~Q9)和1个进位输出端CO。每输入10个计数脉冲,C0就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号,输出端依此变为高电平。 在C1中将11与15相接,即输入端14每输入9个信号时发生一次清零,达到降频的目的。C2中将C1送来的信号分配开,并分在10个端子上得到顺序正脉冲,以达到降频、分频的目的。 第一个芯片进行的是第一次分频,接下来的三个芯片是并联接入状态,其14与第一个的3相连,并利用3,4,10,5,9五个端口进行输出。 以下是第二部分的仿真部分:

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件:Multisim仿真软件,555定时器芯片,CD4518二-十进制同步计数器,74LS139二线-四线译码器,CD4072双四输入或门,74LS48七段数码管译码器,74LS74双D触发器, 各芯片数据手册等。 要求完成的主要任务: 1、以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、 2、 3、 4、 5、 6、 7、 8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列…….,如此周而复始,不断循环。 2、打开电源时,控制器可自动清零。 3、每个数字的一次显示时间相等,该时间在0.5s到2s范围内连续可调。 时间安排: 1、2013年5月16日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013年5月17日至2013年6月30日,方案选择和电路设计。 3、2013年7月1日至2013年7月4日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

摘要 随着时代的发展,各式各样绚丽的霓虹彩灯出现在许多的场合,LED彩灯由于其丰富的灯光和色彩,低廉的成本及控制简单等特点而得到了广泛的运用。 本设计利用多种中小规模集成电路构成彩灯控制电路,主要分为时钟产生电路、循环控制电路、数列产生电路、显示电路四个部分。实现了自然数列、奇数数列、偶数数列、音乐符号数列地循环显示功能。时钟产生电路利用555定时器和D触发器构成周期为0.5s~2s连续可调的方波信号;循环控制电路利用CD4518计数器和74HC139译码器构成具有上电自动清零、可自动循环功能的电路;数列产生电路利用CD4518计数器的不同接法实现特定的数列的输出,从而通过逻辑门与数码显示管连接。 设计的思想是以最少的芯片数量,制作成体积小、功耗低,并且具有很好的可靠性和可扩展性。 关键词:循环控制数列集成电路数码管

相关文档
最新文档