数电EDA课程设计电子日历

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

燕山大学

EDA课程设计报告书

电子日历

姓名:王斌

班级:05级电子信息工程3班

学号:050104020064

日期:2007/11/05——2007/11/14

一、设计题目:电子日历

二、设计要求:

1.能显示年,月,日,星期;

2.例如: 01.11.08. 6,星期日显示8;

3.年月日,星期可调;

4.不考虑闰年

三.设计思路:

为实现本电路得功能,采取模块电路设计方法,本电路系统主要包括以下三三大模块:.

1: 电子日历记数模块

2: 中间控制模块

3: 译码器显示模块

由于不同的月份,决定了不同的天数,因此须设计反馈电路,协调月日的关系,通过不同的月选择相应的天数:比如二月二十八天,十二月三十一天,……..这是利用真值表列出逻辑表达式,从而画出电路图如图1:

仿真图如下:

四、设计过程:

一、电子日历记数模块

1、实现星期计时:

为实现星期计时模块,计到星期日时,显示“8”,采用一般的计数器难以实现,

即可通过四个jk触发器设计而成。其电路图如下:

仿真图如下:

2、实现天数计时:

由于不同的月份,决定了不同的天数,因此须设计三个独立完成计数的计数器电路,如日计数器周期性的(28,30或31)向月计数器进位调月日的关系,即通过三个选择端(c28,c30,c31),同一时刻只能有一个有效,由其中的任一个有效端来控制相应日计数器。其电路原理图

3、实现月份及年份计时:

由用两个74160采用整体同步置数分别构成100进制和12进制计数器,分别完成年,月的计数功能。然后将两者依次异步连接,每隔12个月,月计数器向年计数器进一位,从而实现年月的周期性计数。

月份计数器电路原理图如下:

年份计数器电路图如下:

仿真图如下:

二、中间控制模块

时钟脉冲经cp输入端引入,控制端有:K,Kweek,Kday,Kmonth,Kyear1,Kyear2当接入电源时,须按K按钮进行调整到某一时间即正常计时。按下K时,同时按其它的任一控制端,即可完成对相应的控制及调整。

电路原理图如下:

部分仿真图如下:

三、译码器显示模块

完成内部模块设计之后,需要显示出来,即用扫描电路将星期,年、月、日在显示管上显示出来。扫描电路由74160构成的八进制计数器,四个八选一数据选择

器74151及7449构成,如图四所

整个电路图如下:

当接入电源时,须按clan 按钮一次使月份为1时,可计时及完成相关控制调整功能。

收获与体会

a)实验收获

我在理论的基础上进行了实践,对数字电子技术基础有了更深层的认识与理解,通过实践深入了解了门电路,组合逻辑电路,时序逻辑电路的使用,学会了一些在课本中所涉及不到的一些知识,学会了用基本电路实现中小规模的集成电路等知识。并且学习到了一些平时领悟不到的道理。懂得了遇到问题不应该被其吓倒而应该积极努力的去面对,其实他并没有我们想象当中的那么难。只要肯去面对一定可以做得好。即使做不好也会有许多收获。积极的态度对我们的学习和生活都有很大的作用。

b)体会与心得

在这两周的

EDA课程设计中,我深深地体会到动手实践的重要。作为理工科学生,只学会课本上的一些最基本的理论知识是远远不够的,而真正的掌握是需要亲自动手实践的。只有手脑并用才能充分地灵活地掌握和运用知识。课程设计开始的时候我感到很茫然什么也不会,不知道如何入手,后来通过看课本和一些参考书渐渐的明白了一些原理,到最后做完题目的时候发现原来题目并没有我们想象得那么难,只要肯用心我们完全有能力做好的。通过这次课程设计我加强了信心,感到其实有很多是我们完全有能力做好的,关键是我们要对自己有信心,要对所做的事情有兴趣,多看书肯用心就能学好。这种思想也可以用到今后的学习和生活中去。最后感谢老师这些日子对我的帮助。

相关文档
最新文档