八选一数据选择器实验报告——孙小喃
数字电子技术基础实验-8选1数据选择器74LS151

8选1数据选择器74LS151简介74LS151是一种典型的集成电路数据选择器,为互补输出的8选1数据选择器,它有3个地址输入端CBA,可选择D0~D7 8个数据源,具有两个互补输出端,同相输出端Y和反相输出端W。
74LS151引脚图选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。
(1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。
(2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。
如:CBA=000,则选择D0数据到输出端,即Y=D0。
如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。
74LS151功能表数据选择器的应用数据选择器除实现有选择的传送数据外,还有其他用途,下面介绍几种典型应用。
(1)逻辑函数产生器从74LS151的逻辑图可以看出,当使能端G=0时,Y是C、B、A和输入数据D0~D7的与或函数。
式中mi是C、B、A构成的最小项。
显然。
当Di=1时,其对应的最小项mi在与或表达式中出现,当Di=0时,对应的最小项就不出现。
利用这一点,不难实现组合逻辑函数。
已知逻辑函数,利用数据选择器构成函数产生器的过程是,将函数变换成最小项表达式,根据最小项表达式确定各数据输入端的二元常量。
将数据选择器的地址信号C、B、A作为函数的输入变量,数据输入D0~D7,作为控制信号,控制各最小项在输出逻辑函数中是否出现,使能端G始终保持低电平,这样8选1数据选择器就成为一个3变量的函数产生器。
例1 试用8选1数据选择器74LS151产生逻辑函数解:把式变换成最小项表达式:显然D3、D5、D6、D7,都应该等于1,而式中没有出现的最小项m0,m1,m2,m4的控制变量D0、D1、D2、D4都应该等于0,由此可画出该逻辑函数产生器的逻辑图:、例2 试用与上例相同的8选1数据选择器产生从表中可以看出,凡使L值为1的那些最小项,其控制变量应该等于1,即D1、D2、D4、D7等于1(对应XYZ:001、010、100、111),其他控制变量均等于0。
数据选择器及其应用实验报告

数据选择器及其应用实验报告实验目的:
本实验的目的是通过实现数据选择器的功能,加深对于数字电路的理解,并提升对于数字电路实现的实践能力。
实验原理:
数据选择器是一种能够从多个数据信号中选择特定信号输出的数字电路,通常它有一个或多个数据输入线、一个或多个控制输入线、一个输出线和一个使能输入线。
在数据选择器输出线上的输出值,取决于控制输入线上的值以及选择从哪一个数据输入线接收数据信号。
在本次实验中,我们使用的是双二选一的数码开关。
“双”指的是它一共有两个信道供选择,“二选一”则代表只会选择其中一个信道作为输出。
实验步骤:
1.根据实验原理和实验材料的提供,搭建实验电路。
2.设置信号源,对选择器进行输入数据和控制信号的测试。
3.根据信号源输出的数据,通过实验电路计算出数据选择器输出的结果。
4.逐一更改控制信号的值,反复测试并记录数据。
并对实验记录进行整理和比较分析,以达到理解、检验和加深对数据选择器的认识。
实验结果:
在实验中我们完成了数据选择器的搭建和调试,并通过多次实验数据的记录与比较,成功实现了数据选择器的功能。
实验结论:
通过本次实验,我们深入学习了数据选择器的工作原理和实现方式,并从中进一步了解了数字电路的基本概念和实现方式。
通
过反复实验和分析,我们成功完成了数据选择器的功能调试,提升了我们的实践能力和对数字电路的理解。
八选一数据选择器实验报告——孙小喃

学生实验报告实验课名称:VHDL硬件描述语言实验项目名称:八选一数据选择器专业名称:电子科学与技术班级:32050801学号:05********教师姓名:程鸿亮2010年11月06日组别第三组同组同学钞田田实验日期2010年11月06日实验室名称______________成绩_____ 一.实验名称八选一数据选择器二.实验目的与要求目的:设计一个8选1的数据选择器,初步掌握QuartusII软件的使用方法以及硬件编程下载的基本技能。
要求:通过VHDL编程,实现一个数据选择器,要求有8位数据输入端,1位数据输出端,通过3位地址输入信号寻址,并具有输出使能功能。
首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC开发平台中。
三.实验内容1、打开QuartusII软件,建立一个新的工程:1)单击菜单File\New Project Wizard…2)输入工程的路径、工程名以及顶层实体名。
3)单击Next>按钮,进入下一个界面。
由于我们建立的是一个空的项目,所以没有包含已有文件,单击Next>继续。
4) 设置我们的器件信息:设置为cyclone∏,选择FBGA,484,8,在available devices中选择EP2C35F484C8 33216 483840 70 4, 然后单击Next>,指定第三方工具。
这里我们不指定第三方EDA工具,单击Next>后结束工程建立。
2、建立VHDL文件:1) 单击File\New菜单项,选择弹出窗口中的VHDL File项,单击OK按钮以建立打开空的VHDL文件,注意此文件并没有在硬盘中保存。
2) 在编辑窗口中输入VHDL源文件并保存,注意实体名、文件名必须和建立工程时所设定的顶层实体名相同。
3) 编译工程单击Processing\Start Compilation开始编译,编译过程中可能会显示若干出错消息,参考提示原因对程序进行修改直到编译完全成功为止。
八选一数据选择器

《集成电路设计实践》报告题目:8选1数据选择器院系:自动化学院电子工程系专业班级:微电学生学号:学生姓名:指导教师姓名:职称:讲师起止时间:2015-12-21——2016-1-9成绩:设计任务1) 依据8选1数据选择器的真值表,给出八选一MUX电路图,完成由电路图到晶体管级的转化(需提出至少2种方案);2) 绘制原理图(Sedit),完成电路特性模拟(Tspice,瞬态特性),给出电路最大延时时间;3) 遵循设计规则完成晶体管级电路图的版图,流程如下:版图布局规划-基本单元绘制-功能块的绘制-布线规划-总体版图);4) 版图检查与验证(DRC检查);5) 针对自己画的版图,给出实现该电路的工艺流程图。
电路设计方案的确定数据选择器是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去,实现数据选择功能的逻辑电路称为数据选择器。
它的作用相当于多个输入的单刀多掷开关,其示意图如下所示数据选择器除了可以实现一些组合逻辑功能以外,还可以做分时多路传输电路,函数发生器及数码比较器等,常见的数据比较器有2选1,4选1,8选1,16选1电路。
示意图在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器。
数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号。
本次设计的是8选1数据选择器。
选择控制端(地址端)为K2,K1,K0,按二进制译码,从8个输入数据D0-D7中,选择一个需要的数据送到输出端Y。
根据多路开关的开关状态(地址码)K2,K1,K0的状态选择D0-D7中某一个通道的数据输送到输出端Y。
如:K2K1K0=000,则选择D0数据到输出端,即Y=D0。
如:K2K1K0=001,则选择D1数据到输出端,即Y=D1,其余类推。
8选1 MUX功能表如下:电路图设计如下:1:此电路为组合逻辑门电路,电路为CMOS实现功能,电路规模较大,工作量大,版图布局规模较大,相比之下,选择传输门电路实现8选1数据选择器功能。
8选1选择器设计实验报告csdn

8选1选择器设计实验报告csdn一、设计思路:1.定义选择器语法:在CSS中,选择器以字符","开头,后面跟着具体的属性名和属性值,用于选择具有指定属性值的元素。
2.解析选择器:通过正则表达式提取出属性名和属性值,用于后续的元素选择。
3.选择元素:遍历文档中的所有元素,对每个元素检查其属性是否满足选择器定义的条件。
如果满足条件,则将该元素添加到结果中。
4.应用选择器样式:将选择器定义的样式应用到满足条件的元素上,改变其外观效果。
二、设计实现:1.定义选择器:```css属性名=属性值```2.解析选择器:```javascriptfunction parseSelector(selector)var rege某 = /^\,(\w+)=([\w-]+)/;var match = selector.match(rege某);if (match)returnattr: match[1],value: match[2]};}return null;```3.选择元素:```javascriptfunction selectElements(selector)var elements = document.getElementsByTagName("某");var result = [];var parsedSelector = parseSelector(selector);if (parsedSelector)for (var i = 0; i < elements.length; i++)if (elements[i].getAttribute(parsedSelector.attr) === parsedSelector.value)result.push(elements[i]);}}}return result;```4.应用选择器样式:```javascriptfunction applyStyles(elements, styles)for (var i = 0; i < elements.length; i++)for (var property in styles)elements[i].style[property] = styles[property];}}```三、实验结果:通过将8选1选择器应用到实际开发中的案例中,得出以下测试结果:1.在一个包含多个元素的页面中,选择器能够正确选择具有指定属性值的元素,并将样式应用到这些元素上。
fpga8选1数据选择器

精心整理2019年9月FPGA 实验报告姓名 朱聪聪 学号 39 姓名 武帅 学号 课题名称 8选1数据选择器设计实验目的1、理解数据选择器功能。
2、掌握VHDL 并行语句中条件信号赋值的格式和用法。
设计要求设计一个8选1数据选择器使其满足如下真值表:8选1数据选择器真值表地址码(选择信号)输出Q A0 A1 A20 0 0D0 0 0 1D1 0 1 0D2 0 1 1D3 1 0 0D4 1 0 1D5 1 1 0D6 1 1 1D7 表一1.利用条件信号赋值语句实现8选1数据选择器器功能。
2.完成设计的仿真,并记录、分析仿真波形。
设计思路 条件信号赋值语句也是一种并行信号赋值语句。
条件信号赋值语句可以根据不同的条件将不同的表达式赋值给目标信号,格式如下:信号<=表达式1WHEN 赋值条件1ELSE表达式2WHEN 赋值条件2ELSE ……表达式n ;精心整理2019年9月设计原理图及源程序 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUX8 ISPORT(D:IN STD_LOGIC_VECTOR(7 DOWNTO 0);A:IN STD_LOGIC_VECTOR(2 DOWNTO 0);Q:OUT STD_LOGIC);END ENTITY MUX8;ARCHITECTURE one OF MUX8 IS BEGIN Q<=D(0) WHEN A="000" ELSE D(1) WHEN A="001" ELSE D(2) WHEN A="010" ELSED(3) WHEN A="011" ELSED(4) WHEN A="100" ELSED(5) WHEN A="101" ELSED(6) WHEN A="110" ELSED(7) WHEN A="111" ELSE'Z';END one;.. 仿真波形图实验总结及个人心得体会通过本次实验,复习了之前学习的条件信号赋值语句。
组合逻辑电路数据选择器实验报告

组合逻辑电路数据选择器实验报告
本次实验的目的是通过实验学习组合逻辑电路数据选择器的原理和应用。
数据选择器是一种常用的组合逻辑电路,它可以根据控制信号选择不同的输入数据,并将所选数据输出。
在数字电路中,数据选择器常用于多路选择、数据交换和数据复用等应用中。
实验中我们使用了74LS151芯片作为数据选择器,该芯片具有8个输入端和1个输出端,可以根据控制信号选择其中一个输入端的数据输出。
实验中我们将8个开关分别连接到芯片的8个输入端,通过控制信号选择其中一个开关的输入数据输出到芯片的输出端。
实验中我们使用了示波器观察芯片输出端的波形,以验证芯片的工作状态。
在实验中,我们首先进行了芯片的引脚连接,将芯片的8个输入端分别连接到8个开关上,将控制信号连接到芯片的控制端。
然后我们通过控制信号选择不同的输入端,观察芯片输出端的波形变化。
实验结果表明,芯片能够正确地选择所需的输入数据,并将其输出到输出端。
通过本次实验,我们深入了解了组合逻辑电路数据选择器的原理和应用,掌握了芯片的引脚连接和控制信号的设置方法。
同时,我们也学会了使用示波器观察芯片输出端的波形,以验证芯片的工作状态。
这些知识和技能对于我们今后的学习和工作都具有重要的意义。
本次实验使我们更加深入地了解了组合逻辑电路数据选择器的原理和应用,掌握了芯片的引脚连接和控制信号的设置方法,同时也提高了我们的实验操作能力和实验数据分析能力。
八选一数据选择器

八选一数据选择器学校:山西大同大学班级:电子三班学号:110712011335姓名:张俊岭一.实验目的 :1设计一个8选1的数据选择器,掌握ispLEVER的VHDL文本设计流程全过程。
二.仪器装置:电脑试验箱导线若干三.实验原理 :通过VHDL编程,实现一个数据选择器,要求有8位数据输入端,1位数据输出端,通过3位地址输入信号寻址,并具有输出使能功能。
四.实验步骤:1 编写八选一多路选择器的源代码,编译完成后,新建波形仿真文件,进行功能仿真,验证真值关系是否满足。
2 引脚指定后,下载到芯片ispLSI1032E-70LJ84中,测试逻辑关系,验证本项设计的功能。
五实验记录: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY EIGHT_XUAN_1 ISPORT( ST: IN STD_LOGIC;A: IN STD_LOGIC_VECTOR(2 DOWNTO 0);D: IN STD_LOGIC_VECTOR(7 DOWNTO 0);Q: OUT STD_LOGIC);END;ARCHITECTURE HBV OF EIGHT_XUAN_1 ISSIGNAL Q1: STD_LOGIC;BEGINPROCESS(A)BEGINIF ST='1' THEN Q1<='0';ELSECASE A ISWHEN "000"=> Q1 <= D(0);WHEN "001"=> Q1 <= D(1);WHEN "010"=> Q1 <= D(2);WHEN "011"=> Q1 <= D(3);WHEN "100"=> Q1 <= D(4);WHEN "101"=> Q1 <= D(5);WHEN "110"=> Q1 <= D(6);WHEN "111"=> Q1 <= D(7);WHEN OTHERS => NULL;END CASE;END IF;END PROCESS;Q<= Q1;END HBV;六.问题及讨论:1.这次实验运用ispLEVER软件设计并仿真了8选1数据选择器。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
学生实验报告实验课名称:VHDL硬件描述语言实验项目名称:八选一数据选择器专业名称:电子科学与技术班级:32050801学号:05********教师姓名:程鸿亮2010年11月06日组别第三组同组同学钞田田实验日期2010年11月06日实验室名称______________成绩_____ 一.实验名称八选一数据选择器二.实验目的与要求目的:设计一个8选1的数据选择器,初步掌握QuartusII软件的使用方法以及硬件编程下载的基本技能。
要求:通过VHDL编程,实现一个数据选择器,要求有8位数据输入端,1位数据输出端,通过3位地址输入信号寻址,并具有输出使能功能。
首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC开发平台中。
三.实验内容1、打开QuartusII软件,建立一个新的工程:1)单击菜单File\New Project Wizard…2)输入工程的路径、工程名以及顶层实体名。
3)单击Next>按钮,进入下一个界面。
由于我们建立的是一个空的项目,所以没有包含已有文件,单击Next>继续。
4) 设置我们的器件信息:设置为cyclone∏,选择FBGA,484,8,在available devices中选择EP2C35F484C8 33216 483840 70 4, 然后单击Next>,指定第三方工具。
这里我们不指定第三方EDA工具,单击Next>后结束工程建立。
2、建立VHDL文件:1) 单击File\New菜单项,选择弹出窗口中的VHDL File项,单击OK按钮以建立打开空的VHDL文件,注意此文件并没有在硬盘中保存。
2) 在编辑窗口中输入VHDL源文件并保存,注意实体名、文件名必须和建立工程时所设定的顶层实体名相同。
3) 编译工程单击Processing\Start Compilation开始编译,编译过程中可能会显示若干出错消息,参考提示原因对程序进行修改直到编译完全成功为止。
3. 建立矢量波形文件1) 单击File\New命令,在弹出的对话框中选择Other Files页面中的Vector Waveform File项,打开矢量波形文件编辑窗口。
2) 双击窗口左边空白区域,打开Insert Node or Bus对话框。
3) 单击Node Finder…按钮,打开以下对话框,选择Filter下拉列表中的Pins:all,并点击List列出所有的端口,通过>>按钮把这些端口加入到右面的窗口中,单击OK完成端口的添加。
4) 回到波形编辑窗口,对所有输入端口设置输入波形,具体可以通过左边的工具栏,或通过对信号单击鼠标右键的弹出式菜单中完成操作,最后保存次波形文件。
4. 进行功能仿真1) 单击Assignments\Settings…,在弹出对话框中做相关设置。
在Simulation mode设置为Functional,即功能仿真。
指定仿真波形文件后单击OK完成设置。
2) 单击Processing\Generate Functional Simulation Netlist以获得功能仿真网络表。
3) 单击Processing\Start Simulation进入仿真页面。
此仿真中不包含延迟信息。
5. 进行时序仿真如果功能仿真无误,可进入时序仿真,时序仿真是增加了相关延迟的仿真,是最接近实际情况的仿真。
1) 单击Assignments\Settings…,在弹出对话框中做相关设置。
在Simulation mode设置为Timing,即时序仿真。
指定仿真波形文件后单击OK完成设置。
2) 单击Processing\Start Simulation进入仿真页面。
如果在时序上也没有问题,就可以进入下载工作了。
6. 器件的下载1) 指定器件引脚:单击Assignments\Assignment Editor,打开引脚分配编辑框。
为每一个端口指定器件的引脚,在引脚指定过程中需要参照开发系统所给的I/O端口映射表,通过开发平台上每个I/O器件附近的I/O编号,在映射表中找到相应的引脚名,填入上图所示的对话框即可。
2) 连接下载线通过USB-blaster下载电缆连接PC机和开发平台,如果首次使用下载电缆,此时操作系统会提示安装驱动程序,此USB设备的驱动处于QuartusII安装目录中的\drivers\usb-blaster中。
3) 单击Tool\Programmer打开下载窗口。
通过对话框中的Hardware Setup按钮,选择下载设备:USB-Blaster,点击Start完成下载。
在硬件实现中,要求:I用实验平台的拨动开关实现8位输入信号(d0~d7),要求使用最右面8个开关II用实验平台的按键实现地址信号和使能信号。
采用模式0的输入方式,并使用最左边的键6~键8三个按键实现地址输入,以及键3实现使能信号。
III输出采用LED发光阵列的LED12。
四.实验条件1. WindowsXP操作系统2. QuartusII EDA开发系统3. 杭州康芯SOPC硬件开发平台五.实验原理在数字系统中,往往需要将公共数据线上的信号传送到不同单元中去,这是由数据分配器完成的。
另外,也常常需要把多个通道的信号传送到公共数据上去。
完成这一切功能的逻辑电路称为数据选择器,又叫数据开关。
它们传送的信号是0,1逻辑电平,而不能传送模拟信号。
常见的74151就是一个八选一数据选择器,它有八个数据输入端d0-d7,地址输入端a[2..0], g为使能端,高电平有效和一个数据输出端y。
当使能端g为1时,8个选通端都被截止。
当g为0时,该芯片才从8个输入端中选择一个输出。
此时,地址输入端a[2..0]从000到111之间变换时,可选择不同的通道输出,输出端y输出。
六.源代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity selection isport(d0,d1,d2,d3,d4,d5,d6,d7,g:in std_logic;a:in std_logic_vector(2 downto 0);y:out std_logic);end entity selection;architecture one of selection isbeginprocess(g,a) isbeginif g='0' theny<='0';elsecase a iswhen "000" =>y<=d0;when "001" =>y<=d1;when "010" =>y<=d2;when "011" =>y<=d3;when "100" =>y<=d4;when "101" =>y<=d5;when "110" =>y<=d6;when "111" =>y<=d7;when others=>y<='0';end case;end if;end process;end architecture one;七.实验结果与分析1、功能仿真波形2、时序仿真波形3、代码下载后的部分实例说明:键3输出高电平,数据选择器输出使能。
8位输入数据分别是d7~d0:1、0、1、0、1、0、1、0,此时地址信号a[2..0]为“101”选通d1,LED12输出(灯亮)指示d5为低电平。
说明:键3输出高电平,数据选择器输出使能。
8位输入数据分别是d7~d0:1、0、1、0、1、0、1、0,此时地址信号a[2..0]为“001”选通d1,LED12输出(灯亮)指示d1为高电平。
八.讨论和回答问题及体会:1、在进行编译的时候,开始选择的是if语句,使用了很多循环嵌套判断语句,导致了仿真波形处出现了很大的延迟。
经过对语句的不断修改,最后使用case语句,降低了延迟,达到比较好的波形仿真效果。
2、安装驱动程序,此USB设备的驱动处于QuartusII安装目录中的\drivers\usb-blaster 中。
进行USB装载连接时,由于没有正确熟练操作,导致硬件平台加载错误,无法识别硬件设备,最后在老师的指导下,按照正确操作步骤,完成硬件安装。
3、功能仿真无误后,进行时序仿真,不能成功输出时序仿真图,认真阅读操作步骤后,发现问题应该,单击Assignments\Settings,在弹出对话框中做以下设置:Simulation mode 设置为Timing,指定仿真波形文件后单击OK完成设置,再单击Processing\Start Simulation 进入仿真页面。
4、最后要通过USB-blaster下载电缆连接PC机和开发平台,由于没有在经行证书认证,导致不能下载。
在老师的指导下,在Quartus II的Tools菜单下选择License Setup,选择好认证的License文件,点击确定,最终完成了下载。
体会:这是我第一次使用GW48型SOPC开发平台,由于操作方面的陌生,使得开始进度缓慢,在老师的指导下,慢慢探索出了正确的操作方法,使进度大大增加,通过自己编程,不断编译连接过程中,也能够独立发现语法错误并加以改正,而且能够探索出更适合的语句来进行描述,使我对VHDL语言更加熟悉。
虽然在操作过程中遇到了很多问题,但也在老师的指导下顺利解决,发现不足,并且初步掌握QuartusII软件的使用方法以及硬件编程下载的基本技能。