多级冲击电压发生器的设计

多级冲击电压发生器的设计
多级冲击电压发生器的设计

多级冲击电压发生器的

设计

Company Document number:WUUT-WUUY-WBBGB-BWYTT-1982GT

高电压课程设计多级冲击电压发生器的设计

电气与电子工程学院

指导老师:戴玲

2010年3月1日

一、设计任务:

设计一高效多级冲击电压发生器,使其输出标准冲击电压波形(即50u s),电压等级为330k v-800k v,级数为3级以上。

二、额定电压的选择:

为确定所要设计的冲击电压发生器的电压等级,需首先明确冲击电压发生器电压等级与所测试品电压等级的关系(见下表)

1.试品电压等级的确定:

表1.冲击电压发生器标称电压与被测试设备额定电压

间的关系

根据设计要求的输出电压为300-800kV,根据上表,可以假定试品的电压等级为66kv。

2.额定电压的确定:

根据66kV设备雷电冲击耐受电压(峰值)表,可知变压器类设备的内绝缘的耐受电压最高,为385kV,击穿电压和闪络电压都高于试验电压,考虑为研究试验取裕度系数;长期工作时冲击电压发生器会发生绝缘老化,考虑老化系数取;假定冲击电压发生器的效率为85%,故冲击电压发生器的标称额定电压应不低于:

由此确定冲击电压发生器的为660k v。

三、冲击电容的选择:

将试品电容估算为900p F,冲击电压发生器的对地杂散电容和高压引线及球隙等的电容估算为500p F,电容分压器的电容估算为600p F,

则总的负荷电容:C2≈900+500+600=2000(p F)

按冲击电容为负荷电容的10倍估算,

则冲击电容10000p F=5C2

从国产脉冲电容器的产品规格中找到型高压脉冲电容器比较合适,具体参数和规格如下表:

表二:

型号额定电压kv标称电容uF外形尺寸mm重量kg

110kvΦ635×495 瓷壳235选用此种型号电容器时,可以将所要设计的冲击电压发生器做成110k v一级,共6级(其中每级电容用两个电容串联组成,这样即可同时满足此冲击电压发生器额定电压和冲击电容的要求)。用此种电容器可装成柱式结构,总高约为3m,高度适中。

四、回路选择:

根据设计要求要选择高效回路,利用并联充电、串联

放电的基本原理,得到合乎设计要求冲击试验电压。回路图如下所示:

五、冲击电压发生器的主要参数计算:

额定电压: U 1=110×6=660k v

冲击电容:

C 1=C /5=2)×(1/6)×610=

能量: 22n 11/20.1666660/2 3.63W C U kJ kJ ==?=

六、波头电阻和波尾电阻的计算:

试品电容约900pF ,负荷总电容为2000pF ,

波前等效回路

所以波前时间 12121.2 3.24/()f

f T s R C C C C μ==?+ 求出207.6f R =Ω,每级/634.6f f r R ==Ω。

半峰值是等效回路

故半峰值时间

求出3866.6t R =Ω,每级/6644.4t t r R ==Ω

七、冲击电压发生器的效率计算:

由公式 ()112C 20000pF 91%C C 200002000pF η==≈++

若考虑波形系数为,则0.910.94586%η=?=,可见该冲击电压发生器具有较高的效率,即所选参数是合适的。

八、充电电阻和保护电阻的选择:

要求()(10~20)f t C R r Cr +≥ ,得:

取R =15k Ω。每个充电电阻值15k Ω,结构长度应能耐

受110kv 的电压(此处充电电阻的阻值过大或者过小都是不恰当的。过大会延长充电时间,增加各级电容器的充电的不均匀性;过小则过小则各级球隙动作不可靠,冲击电压波长时间减小,放电回路利用系数降低)。

在此基础上取保护电阻r 充电电阻R 的40倍,则保

护电阻r 为600k Ω,结构长度应能耐受×55kv=的高压(保护电阻不仅可以起到保护整流装置的作用,还可以起到均压作用)。

九、充电时间的估算:

因为采用了倍压充电回路,由式

但考虑到电容C 的另一侧为t r 及f

r ,它们远小于充电电阻R 。此外还应考虑倍压回路第一个回路中的保护电阻r 的作用。充电至倍电压时,

设0r r =,则计算得11.2T s ≈充。实际上还存在充电回路中0

C 的影响,它可使充电时间增加一些,可估计T 充为15s 。

十、变压器选择:

考虑倍压充电回路所需的容量,加大安全系数到。

变压器容量 3.02/ 3.02 3.63/15 1.452n W T kVA kVA =??=??=充

变压器电压=1.155/42.78kV kV ?=

所以,可选择国产试验变压器,型号为YD—3/50,其参数如下表。

表—3/50试验变压器的参数

十一、高压硅堆选择:

为了缩短充电时间,充电变压器应该提高10%的电压,因此硅堆的反峰电压=55kV×+55kV=。

硅堆的额定电流以平均电流计算,实际充电电流是脉动的,充电之初平均电流较大,选择硅堆用的平均电流难以计算。现只有根据充电变压器输出的电流(有效值)来选择硅堆额定电流。电流的有效值是大于平均值的。

因此选用硅堆应满足:

1.反峰电压>

2.额定整流电流>

在此种条件要求下可以选用型号为2D L 150/的

高压硅堆。(参数见下表)

表4.

号 型号 反峰电压kv 反向电流uA +25 正向压降 平均整流电流 外形尺寸mm 40度

100 长 宽 高 1 2D L 150/

150 <=10 <=120 50 20 400 30 22 十二、球隙直径的选择:

由资料可知,Φ10cm 球隙在间隙距离为时的放电电压为115kv ,因此选择Φ10cm 铜球五对作为后五级的放电球隙,而第一级球隙采用相同放电电压等级

的三电极球隙代替。

十三、波头电阻和波尾电阻丝材料的选择计算:

已知34.6f r =Ω,644.4t r =Ω,一级电容器储能为:

2632/20.50.110(11010)0.605CU kJ kJ -=????=。假定试品不

放电时能量全部消耗在t

r 中,试品短路放电时能量的

+,即消耗在f

r 中。如采用双股相反绕的无感电阻结构,则波前电阻的每股阻值为2×Ω即Ω。每股电阻丝消耗的能量为2kJ 即。同样情况,波尾电阻每股阻丝的阻值为2×=Ω,每股电阻丝消耗的能量为2kJ 即。冲击放电的过程很快,电阻丝消耗的能量可按绝热过程考虑,所消耗的能量全部转变为电阻丝温度的升高。如所采用的电阻丝为康铜丝,康铜丝的密度ν为3

8.9/g cm ,电阻率ρ为20.48/cm m Ω?,比热容m C 为0.417/()J g C ??,电阻允许最高温升θ为150℃。令电阻

丝长度为l/m ,直径为d/mm ,则可得

204()R l d ρπ= (1)

而消耗的能量

2/4m W l d C νπθ=?? (2)

将式(1)和式(2)消去l ,得电阻丝的直径为

[]1/4

0(2//()m d W R C ρνθ= (3)

首先令02234.669.2f R r ==?=Ω,287W J =,

最后,由式(3)得

实际选Φ的电阻丝两根,并按相反方向并绕。由式(1)得其中一根阻丝的长度为

实际温升可由式(2)得

再次令

022644.41288.8 t

R r

==?=Ω,302.5

W J

=代入式(3)得电阻丝的直径为

实际选Φ电阻丝按相反方向并绕。可算得一根电阻丝的长度

实际温升

用所选康铜丝两根,并联反绕到绝缘管上即可做成波头电阻和波尾电阻。要求匝间距离尽可能小。电阻棒的长度应使两端间能耐受110kV的电压。

十四、测量环节冲击分压器系统的设计:

因为所设计的多级冲击电压发生器输出电压较高,同时为得到较高的降压比例和好的瞬变响应特性,可以采用

两级分压系统;同时为减小寄生参数的影响,此处构成电阻分压器的电阻丝要采用无感电阻,主要由锰铜、康铜和镍镉等金属用无感绕法在绝缘板或者绝缘筒上绕制而成。

得到精确地测量结果,还要配合性能良好的低压测试回路。由于冲击电压持续时间短,波形变化快,在测量回路中要考虑行波的折反射过程。为防止波在

电缆上来回反射,需加装匹配电阻(见下图)。

1.一次分压器的设计:

采用电容分压器分压,使用如图3示测量回路。同轴电缆输出端电压设为2kV,然后经电阻分压器二次分压,把信号电压输入示波器。考虑二次分压用的电阻分压器阻值很大,其阻抗效应可忽略。

高压臂电容选国产MY500—脉冲电容器(可承受电压最高)较合适,其参数如表5。

表5. MY500—脉冲电容器的规格

用此种电容器三个并联,使高压臂

由于设同轴电缆输出端电压幅值为2kV ,故分压比

K=660/2=330。

求出20.06104C F μ=。用MY80—脉冲电容器组成低压臂,其参数如表6。

表6. MY80—脉冲电容器的规格

用此种电容器两级串联,使分压器额定电压可达(500+80×2)kV =660kV ,可用于测量冲击电压。每级由4个电容器并联,使低压臂电容

故分压器的实际分压比为

即同轴电缆输出端电压

21/(660/335.3) 1.968

U U K kV kV

===

2.二次电阻分压器(为简单的双电阻串联分压系统,如下图所示):

普通双电阻分压器

高压臂取

110000

R=Ω,低压臂2100

R=Ω,则分压比

最终输入示波器的电压幅值为(1968/101)V=.

另需注意的是,测量时,为防止干扰,低压回路和测量仪器必须进行良好的屏蔽,同时,测量仪器的电源要通过滤波器以及带静电屏蔽的隔离变压器供给。另外传输电缆要采用双层屏蔽电缆,外屏蔽层与屏蔽室相连,而内屏蔽层与测量仪器的接地端连接。

设计小结

冲击电压发生器的设计可分为两个部分,第一是冲击电压发生器本身的设计,包括冲击电容的选定,波前电阻和

波尾电阻的计算,充电电阻和保护电阻的选定,波前电阻和波尾电阻阻丝选择,高压试验变压器选择,高压硅堆的选择,球隙直径的选择等。第二是冲击电压测量回路的设计,在本设计中冲击分压器采用两级结构,包括一次分压器的选择和二次分压器的选择。

在设计过程中做了较多的近似,因此不可避免地会带来设计误差,原因有以下几方面:一方面是忽略了各个环节寄生电感的影响;其次是冲击分压器的设计,两级分压结构虽然有较高的分压比和较好的响应特性,但也不可避免的引入了计算误差;另外是是元器件的选取,因参考资料有限,对于一些元器件,特别是分压器中的电容、电阻和电缆,只能在很小的范围内选择,不可能做到完全匹配和恰当,实际设计时应该进行更多的参考。

在本次设计过程涉及到很多高电压工程方面的知识和标准,通过此次设计本人对冲击电压发生器的工作原理有了

更为深刻的了解。本次设计的顺利完成当然离不开老师的悉心指导和同学们的热情帮助。

冲击电压发生器设计说明书

一、高效雷电冲击电压发生器由六级组成,额电压为660kv,输出标准雷电冲击电压波形。

二、冲击电容器选择国产型高压脉冲电容器,具体参数见设计过程相关参数表格。

三、该冲击电压发生器采用高效回路,使放电回路具有较高的利用效率。

四、该冲击电压发生器的波头电阻3866.6

R=Ω,波尾电阻

t

R=Ω,并且选用适当规格的康铜丝(即要同时满足温207.6

f

升和耐压要求)做成。

五、为保证该冲击电压发生器具有合适的充电时间(不能过大或者过小),保证各级电容器的充电的均匀性,同时使各级球隙动作较为可靠,且保证放电回路的利用系数

不至过低,选择充电电阻R=15kΩ;为保护整流装置和均压,使保护电阻r=600kΩ。

六、该冲击电压发生器的充电时间估算为15s,长度适

中,较为恰当。

七、经过容量和电压的估算,确定选择国产试验变压

器,型号为YD—3/50,具体参数见设计过程相关参数表格。

八、经过反峰电压和额定整定电流的估算,确定选择型

号为2D L150/的高压硅堆,具体参数见设计过程相关参数表格。

九、查球隙放电电压表易确定Φ10cm铜球在间隙距离为时满放电要求。

十、为得到较高的分压比例和好的瞬变响应特性,该冲击电压发生器的冲击分压系统采用两级结构,具体分压系统结构和低压测试回路见设计过程(在此不再赘述)。

十一、为防止干扰,低压回路和测量仪器必须进行良好的屏蔽(具体方法不再详述,见设计过程)。

参考资料

《高电压工程》——林福昌主编

中国电力出版社

《高电压试验技术》

——华中科技大学上海交通大学合编

水利电力出版社 1982 .11 《高电压实验技术》

——张仁豫陈昌渔等着

清华大学出版社 1982

CMOS二级运算放大器设计

CMOS二级运算放大器设计 (东南大学集成电路学院) 一.运算放大器概述 运算放大器是一个能将两个输入电压之差放大并输出的集成电路。运算放大器是模拟电子技术中最常见的电路,在某种程度上,可以把它看成一个类似于BJT 或FET 的电子器件。它是许多模拟系统和混合信号系统中的重要组成部分。 它的主要参数包括:开环增益、单位增益带宽、相位阈度、输入阻抗、输入偏流、失调电压、漂移、噪声、输入共模与差模范围、输出驱动能力、建立时间与压摆率、CMRR、PSRR以及功耗等。 二.设计目标 1.电路结构 最基本的COMS二级密勒补偿运算跨导放大器的结构如图所示。主要包括四部分:第一级输入级放大电路、第二级放大电路、偏置电路和相位补偿电路。 图两级运放电路图 2.电路描述 电路由两级放大器组成,M1~M4构成有源负载的差分放大器,M5提供该放大器的工作电流。M6、M7管构成共源放大电路,作为运放的输出级。M6 提供给M7 的工作电流。M8~M13组成的偏置电路,提供整个放大器的工作电流。相位补偿电路由M14和Cc构成。M14工作在线性区,可等效为一个电阻,与电容Cc一起跨接在第二级输入输出之间,构成RC密勒补偿。 3.设计指标 两级运放的相关设计指标如表1。

表1 两级运放设计指标 三.电路设计 第一级的电压增益: )||(422111o o m m r r g R G A == 第二级电压增益: )||(766222o o m m r r g R G A =-= 所以直流开环电压增益: )||)(||(76426221o o o o m m o r r r r g g A A A -== 单位增益带宽: c m O C g A GBW π2f 1 d == 偏置电流: 2 13 122121)/()/()/(2??? ? ??-=L W L W R L W KP I B n B 根据系统失调电压: 7 5 6463)/()/(21)/()/()/()/(L W L W L W L W L W L W == 转换速率: ? ?? ???-=L DS DS C DS C I I C I SR 575,min 相位补偿: 12.1)/()/()/()/(1 61311 146 6+== m m m C g g L W L W L W L W g R

高电压技术课程设计-冲击电压发生器的设计

高电压技术课程设计 ——冲击电压发生器的设计 专业:>>>>>> 班级:>>>>>> 设计者:>>>>>> 学号:>>>>>> 指导老师:>>>>>> 冲击电压发生器的设计

电力系统种的高压电气设备,除了承受长时期的工作电压外,在运行过程种,还可能会承受短时的雷电过电压和操作过电压的作用。一般用冲击高压试验来检验高压电气设备的雷电过电压和操作过电压作用下的绝缘性能或保护性能。 雷电冲击高压试验采用全波冲击电压波形或截波冲击电压波形,这种冲击电压持续时间较短,约数微秒至数十微秒,它可以由冲击电压发生器产生;操作冲击电压试验采用操作冲击电压波形,其持续时间较长,约数百微秒至数千微秒,它利用变压器产生,也可利用冲击电压发生器产生。许多高电压试验室的冲击电压发生器既可以产生雷电冲击电压波,也可以产生操作冲击电压波。 冲击电压发生器是产生冲击电压波的装置。雷电冲击电压波是一个很快地从零上升到峰值然后较慢地下降地单向性脉冲电压。 一.设计目标: 输出波形为1.2/50μs标准波形,回路采用高效率回路,输出电压为300~800kV,发生器级数为4~8级。 二.设计过程: 1.试品电压等级的确定 表1.冲击电压发生器标称电压与被测试设备额定电压间关系 要求的输出电压为300~800kV,根据上表,可以暂定试品的电压等级为66kV。 根据66kV设备雷电冲击耐受电压(峰值)表,可知变压器类设备的内绝缘的耐受电压最高,为385kV,击穿电压和闪络电压都高于试验电压,考虑为研究试验取裕度系数1.3;长期工作时冲击电压发生器会发生绝缘老化,考虑老化系数取1.1;假定冲击电压发生器的效率为85%,故冲击电压发生器的标称电压应不低于: 1385 1.3 1.1/0.85647 U kV kV =??=所以可取冲击电压发生器的标称电压为660kV 2.冲击电容的选定

多级放大电路设计及测试

3.16多级放大电路的设计与测试 一.实验目的 1.理解多级放大直接耦合放大电路的工作原理和设计方法。 2.学习并熟悉设计高增益的多级直接耦合放大电路的方法。 3.掌握多级放大器性能指标的测试方法。 4.掌握再放大电路中引入负反馈的方法。 二.实验预习与思考 基本要求: 用给定的三极管2SC1815(NPN),2SA1015(PNP)设计多级放大器,已知Vcc=+12V,Vee=-12V,要求设计差分放大器恒流源的射极电流Ieq3=1-1.5mA,第二放大级射极电流Ieq4=2-3mA;差分放大器的单端输出不失真电压增益至少大于10倍,主放大级的不失真电压增益不小于100倍;双端输入电阻大于10KOhm,输出电阻小于10Ohm,并保证输入级和输出级的直流电流为为零。 三.测试方法 静态工作点、增益、输入、输出阻抗、幅频特性等测试方法请参看前面的教学内容。 四.实验内容 用Multisim仿真设计结果,并调节电路参数以满足性能指标要求。给出仿真结果。 仿真实验电路: 测得放大电路单端输入电阻约为10KOhm,放大倍率3094.53倍。 由于放大倍率较大,如采用Ui=5mV,10kHz交流电,则放大电压Uo=Ui*Au=15.47V,超出了放大电路的最大输出,因此接下来的仿真实验采用交流电压为100uV,500Hz的交流电源。 测试电路: 2.电路放大倍率的测试

倍Au=3094.53总放大倍数: 测试电路:测试截图:差分输入,输出波形:主放大级输入、输出波形:总输入,输出波形:输入电阻测试2.Ri R U' U 10.372kOhm 49.085uV 10kOhm 100uV :测试电路:测试结果Ro=4.032hm 输出电阻: 370 1850 3.7K 18.5 37K 74K 185K 370K Au(dB) 69.790 69.811 69.798 69.328 67.71 65.573 54.922 46.614 分析电路: 测试结果:

东南大学模电实验六多级放大器的频率补偿和反馈

实验六多级放大器的频率补偿和反馈 实验目的: 1. 掌握多级放大器的设计,通过仿真了解集成运算放大器内部核心电路结构; 2. 掌握多级放大器基本电参数的定义,掌握基本的仿真方法; 3. 熟悉多级放大器频率补偿的基本方法; 4. 掌握反馈对放大器的影响。 实验内容: 1. 多级放大器的基本结构及直流工作点设计 基本的多级放大器如图 1 所示,主要由偏置电路,输入差分放大器和输出级构成,是构成集成运算放大器核心电路的电路结构之一。其中偏置电路由电阻 R1 和三极管Q4 构成,差分放大器由三极管Q3、NPN 差分对管U2 以及PNP 差分对管U1 构成,输出级由三极管 Q2 和PNP 差分对管U3 构成。 实验任务: 图 1. 基本的多级放大器

○1 若输入信号的直流电压为2V,通过仿真得到图1 中节点1,节点2 和节点3 的直流工作点电压; V1(V)V2(V)V3(V) ○2 若输出级的NPN 管Q2 采两只管子并联,则放大器的输出直流电压为多少结合仿真结果给出输出级直流工作点电流的设置方法。

V1(V)V2(V)V3(V) 解:将①和②对比可以发现,V3的数值产生明显的变化。Q2之所以采用单只管子,是因为这样可以增大输出直流电压,使得工作点更稳定,提高直流工作点。 2. 多级放大器的基本电参数仿真 实验任务: ○差模增益及放大器带宽 将输入信号V2 和V3 的直流电压设置为2V,AC 输入幅度都设置为,相位相差180°, 采用AC 分析得到电路的低频差模增益A,并提交输出电压V(3)的幅频特性和相频特性仿真结果图;在幅频特性曲线中标注出电路的-3dB 带宽,即上限频率f;在相频特性曲线中标注出0dB 处的相位。 解: 低频差模增益AvdI= 电压V(3)的幅频特性和相频特性仿真结果图:

折叠式共源共栅运算放大器设计

折叠式共源共栅运算放大器

目录 一.摘要 (2) 二.电路设计指标 (3) 三.电路结构 (3) 四.手工计算 (7) 五.仿真验证 (10) 六.结论 (12) 七.收获与感悟 (12) 八.参考文献 (13)

摘要 运算放大器在现代科技的各个领域得到了广泛的应用,针对不同的应用领域出现了不同类型的运放。本文完成了一个由pmos作输入的放大器。vdd为3.3v,负载电容为1pf,增益Av 大于80dB,带宽GBM大于100MHz的放大器。输出级采用共源级结构以提高输出摆幅及驱动能力,为达到较宽的带宽,本文详细分析推导了电路所存在的极零点,共源共栅镜像电流源产生Ibias。选择P沟道晶体管的宽度和长度,使得它们的m g 和ds r 与N沟道晶体管的情况相匹配。 关键字:运算放大器、共源共栅级、极点 Abstract Operation amplifiers are widely used in many field s nowadays。All kinds of differential operation amplifiers appear f6r special application.One basic cell of which is fully differential operation amplifiers is designed in the thesis.Power Supply 3.3v,load capacitor 1pf,Gain>80dB,GBM>100MHz。The output stage is common source amplifier for getting proper DC operation point,for the purpose of wider bandwidth,we carefully analysis the pole and zero in the circuit ,use common source common gate as current Ibias。Choose pmos w/l to make their mg and dsr which can match with nmos。 Kay words:Operation amplifiers、common source common gate、pole

模电设计多级放大器

前言 (2) 第一章放大器的概述 (2) 1.1多级放大器的功能 (2) 1.2.2设计任务及目标 (2) 1.2.3主要参考元器件 (3) 第二章电路设计原理与单元模块 (3) 2.1设计原理 (3) 2.2设计方案 (4) 2.3单元模块 (6) 第三章安装与调试 (6) 3.1电路的安装 (6) 3.2电路的调试 (7) 第四章实验体会 (7) 结论 (7) 致谢 (7) 参考文献 (8) 附录 (8)

前言 电子技术电路课程设计是从理论到实践的一个重要步骤,通过这个步骤使我们的动手能力有了质的提高,也使我们对电路设计理念的认识有了质的飞跃。本课程设计是对放大器对电压放大的基本应用,我们设计的二级低频阻容耦合放大器严格按照实验要求设计,能够充分满足的电压放大倍数、频带宽、输入输出电阻等实验要求的性能参数,这次课程设计让我们了解了类似产品的内部原理结构。设计时我和搭档设计了二级三极管放大电路、可变放大倍数的二级运算放大器电路等多种方案,由于考虑到器材的限制,我们最终采用了最为简洁的两级运算放大器电路,实现了用最少的元器件实现要求功能。 第一章放大器的概述 1.1多级放大器的功能 随着科技的进步,电子通讯产品越来越多的进入人们视野,小到耳机手机收音机,大到大型雷达都要利用到信号放大器,可以说信号放大器是现代通讯设备的核心器件之一,而多级放大器又是一级放大器的推广,可以克服单级放大器放大倍数不够等诸多问题。耦合形式多级放大电路的连接,产生了单元电路间的级联问题,即耦合问题。放大电路的级间耦合必须要保证信号的传输,且保证各级的静态工作点正确。 直接耦合——耦合电路采用直接连接或电阻连接,不采用电抗性元件。 直接耦合电路可传输低频甚至直流信号,因而缓慢变化的漂移信号也可以通过直接耦合放大电路。 电抗性元件耦合——级间采用电容或变压器耦合。 电抗性元件耦合,只能传输交流信号,漂移信号和低频信号不能通过。根据输入信号的性质,就可决定级间耦合电路的形式。 零点漂移是三极管的工作点随时间而逐渐偏离原有静态值的现象。产生零点漂移的主要原因是温度的影响,所以有时也用温度漂移或时间漂移来表示。工作点参 数的变化往往由相应的指标来衡量。一般将在一定时间内,或一定温度变化范围内的输出级工作点的变化值除以放大倍数,即将输出级的漂移值归算到输入级来表示的。 本设计主要完成:实验要求电压放大倍数大于100倍,实际参数200倍,频带要求为:30Hz~30KHz,实际参数20Hz~150KHz,要求输入电阻大于20千欧,实际为23千欧,要求输出电阻均低于10欧,实际为8欧。 1.2设计任务及要求1.2.1基本要求(1)电压放大倍数大于100倍;(2)电路的频带为:30Hz~30KHz;(3)输出电阻大于20千欧; (4)输出电阻小于10欧; 1.2.2设计任务及目标 (1)综合运用相关课程所学到的理论知识去独立完成课题设计;

模电课程设计-功率放大器设计

《电子技术Ⅱ课程设计》 报告 姓名雷锋 学号 52305105121520 院系自动控制与机械工程学院 班级核电一班 指导教师王老师黄老师 2014年 6月

目录 一、设计的目的 (1) 二、设计任务和要求 (1) 三、课程设计内容 (1) 1. Multisim仿真软件的学习 (1) 四、基础性电路的Multisim仿真 (2) 1.题目一:半导体器件的Multisim仿真 (2) 2.题目二:单管放大电路的Multisim仿真 (7) 3.题目三:差分放大电路的Multisim仿真 (11) 4.题目四:两级反馈放大电路的Multisim仿真 (14) 5.题目五:集成运算放大电路的Multisim仿真 (21) 6.题目六:波形发生电路的Multisim仿真 (23) 五.综合性能电路的设计和仿真 (26) 1.题目二:功率放大器的设计 (26) 六、总结 (29) 七、参考文献 (29)

一、设计的目的 该课程设计是在完成《电子技术2》的理论教学实践,掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养综合知识应用能力和实践能力,为今后从事本专业相关工程技术打下基础。 二、设计任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成基础性的电路设计和仿真及综合性电路设计和仿真。 要求: 1、巩固和加深对《电子课程2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真 结果。 三、课程设计内容 1. Multisim仿真软件的学习 Multisim7是一个优秀的电工技术仿真软件,既可以完成电路设计和版图绘制,也可以创建工作平台进行仿真实验。Multisim7软件功能完善,操作界面友好,分析数据准确,易学易用,灵活简便,因此,在教学、科研和工程技术等领域得到广泛地应用。

采用折叠式结构的两级全差分运算放大器的设计

目录 1. 设计指标 (1) 2. 运算放大器主体结构的选择 (1) 3. 共模反馈电路(CMFB)的选择 (1) 4. 运算放大器设计策略 (2) 5. 手工设计过程 (2) 5.1 运算放大器参数的确定 (2) 5.1.1 补偿电容Cc和调零电阻的确定 (2) 5.1.2 确定输入级尾电流I0的大小和M0的宽长比 (3) 5.1.3 确定M1和M2的宽长比 (3) 5.1.4确定M5、M6的宽长比 (3) 5.1.5 确定M7、M8、M9和M10宽长比 (3) 5.1.6 确定M3和M4宽长比 (3) 5.1.7 确定M11、M12、M13和M14的宽长比 (4) 5.1.8 确定偏置电压 (4) 5.2 CMFB参数的确定 (4) 6. HSPICE仿真 (5) 6.1 直流参数仿真 (5) 6.1.1共模输入电压范围(ICMR) (5) 6.1.2 输出电压范围测试 (6) 6.2 交流参数仿真 (6) 6.2.1 开环增益、增益带宽积、相位裕度、增益裕度的仿真 (6) 6.2.2 共模抑制比(CMRR)的仿真 (7) 6.2.3电源抑制比(PSRR)的仿真 (8) 6.2.4输出阻抗仿真 (9) 6.3瞬态参数仿真 (10) 6.3.1 转换速率(SR) (10) 6.3.2 输入正弦信号的仿真 (11) 7. 设计总结 (11) 附录(整体电路的网表文件) (12)

采用折叠式结构的两级全差分运算放大器的设计 1. 设计指标 5000/ 2.5 2.551010/21~22v DD SS L out dias A V V V V V V GB MHz C pF SR V s V V ICMR V P mW μ>==?== >=±=?≤的范围 2. 运算放大器主体结构的选择 图1 折叠式共源共栅两级运算放大器 运算放大器有很多种结构,按照不同的标准有不同的分类。从电路结构来看, 有套筒 式共源共栅、折叠式共源共栅、增益提高式和一般的两级运算放大器等。本设计采用的是如图1所示的折叠式共源共栅两级运算放大器,采用折叠式结构可以获得很高的共模输入电压范围,与套筒式的结构相比,可以获得更大的输出电压摆幅。 由于折叠式共源共栅放大器输出电压增益没有套筒式结构电压增益那么高,因此为了得到更高的增益,本设计采用了两级运放结构,第一级由M0-M10构成折叠式共源共栅结构,第二级由M11-M14构成共源级结构,既可以提高电压的增益,又可以获得比第一级更高的输出电压摆幅。 为了保证运放在闭环状态下能稳定的工作,本设计通过米勒补偿电容Cc 和调零电阻Rz 对运放进行补偿,提高相位裕量! 另外,本文设计的是全差分运算放大器,与单端输出的运算放大器相比较,可以获得更高的共模抑制比,避免镜像极点及输出电压摆幅。 3. 共模反馈电路(CMFB )的选择 由于采用的是高增益的全差分结构,输出共模电平对器件的特性和失配相当敏感,而且不能通过差动反馈来达到稳定,因此,必须增加共模反馈电路(CMFB )来检测两个输出端

冲击电压发生器的设计

冲击电压发生器的设计

一、 工作原理 冲击电压发生器通常都采用Marx 回路,如图1所示。图中C 为级电容,它们由充电电阻R 并联起来,通过整流回路T-D-r 充电到V 。此时,因保护电阻r 一般比R 约大10倍,它不仅保护了整流设备,而且还能保证各级电容充电比较均匀。在第1级中g0为点火球隙,由点火脉冲起动;其他各级中g 为中间球隙,它们调整在g0起动后逐个动作。这些球隙在回路中起控制开关的作用,当它们都动作后,所有级电容C 就通过各级的波头电阻Rf 串联起来,并向负荷电容C0充电。此时,串联后的总电容为C/n ,总电压为nV 。n 为发生器回路的级数。由于C0较小,很快就充满电,随后它将与级电容C 一起通过各级的波尾电阻Rt 放电。这样,在负荷电容C0上就形成一很高电压的短暂脉冲波形的冲击电压。在此短暂的期间内,因充电电阻R 远大于Rf 和Rt, 因而它们起着各级之间隔离电阻的作用。冲击电压发生器利用多级电容器并联充电、串联放电来产生所需的电压,其波形可由改变Rf 和Rt 的阻值进行调整, 幅值由充电电压V 来调节,极性可通过倒换硅堆D 两极来改变。 图 1 冲击电压发生器回路(Marx 回路) 二、Simulink 设计 1、各参数的选取 额定电压的选取:取试品电压为110 kV ,由附录表A10和A3可得,耐受电压为550 kV ,型号MY 110-0.2的标称电容为0.2μF ,故冲击电压发生器的标称电压应不低于 U1=550*1.3*1.1/0.85=925.3 kV 冲击电容的选取:如不考虑大电力变压器试验和整卷电缆试验,就数互感器的电容较大,约1000pF ,冲击电容发生器的对地电容和高压引线及球隙等的电容估计为500pF ,电容分压器的电容估计为600pF ,则总的负荷电容为:C2=1000+500+600=2100pF 电容器选择:从国产脉冲电容器的产品规格中找到MY 110—0.2瓷壳高压脉冲电容器比较合适,其电容值为0.2μF ,用此种电容器8级串联,标称电压可达880kV ,基本可以满足前述要求。每级由2个电容器并联,使冲击电容 C1=(2*0.2/8)= 0.05μF 冲击电压发生器主要参数: 标称电压:U1=110*8=880 kV 冲击电容:C1=0.05 μF 负荷总电容:C2=0.0021 μF 2、等效电路图如下 图 2 发生器的充电回路

多级低频电压放大器设计报告

多级低频电压放大器设计 姓 名 学 号 院、系、部 班 号 完成时间 ※※※※※※※※ ※ ※ ※ ※※ ※※ ※ ※ ※ ※ ※※※※※ 2013级 模拟电子技术课程设计

摘要 本设计采用二级高通运算放大器的设计思路,分别设计了二级运算放大电路、可变放大倍数的二级运算放大电路等多种方案,并应用放大器对电压放大的特点,要求电压在满足放大倍数的前提下,对大于10KHz高频的信号进行选取,并运用多级反相放大器对电压进行放大。并且多级电压放大倍数等于组成它的各级电路电压放大倍数之积。其输入电阻是第一级的输入电阻,输出电阻是末级的输出电阻。在求解某一级的电压放大倍数时应将后级输入电阻作为负载。我们经常听广播,当我们选台时其实是对不同的频率的信号进行选择,对信号的选择这时我们就要用到多级低频电压放大器的实现。根据所选信号的频率范围可分为低通、高通、带通、带阻。这其中带通是允许每一段频带范围内的信号通过,而将此频带以外的信号阻断,而消除高频段和低频段的干扰和噪声,经常用与抗干扰设备的组成中。 由于多级放大倍数等于各级放大倍数之积算出所需要的电路,并通过对设计的电路图经过Multisim仿真运行后,得到了放大倍数大于600倍,频率大于10KHz的符合要求的高频输出波,因此可以确定此次电路设计可以满足要求。 关键词:多级放大滤波

目录 第1章设计任务与要求.....................错误!未定义书签。第2章方案与论证. (1) 第3章设计电路图......................错误!未定义书签。第4章调试分析.. (3) 第5章结论与心得 (4) 参考文献 (5)

多级冲击电压发生器的设计

多级冲击电压发生器的 设计 Company Document number:WUUT-WUUY-WBBGB-BWYTT-1982GT

高电压课程设计多级冲击电压发生器的设计 电气与电子工程学院 指导老师:戴玲 2010年3月1日 一、设计任务: 设计一高效多级冲击电压发生器,使其输出标准冲击电压波形(即50u s),电压等级为330k v-800k v,级数为3级以上。 二、额定电压的选择: 为确定所要设计的冲击电压发生器的电压等级,需首先明确冲击电压发生器电压等级与所测试品电压等级的关系(见下表) 1.试品电压等级的确定: 表1.冲击电压发生器标称电压与被测试设备额定电压

间的关系 根据设计要求的输出电压为300-800kV,根据上表,可以假定试品的电压等级为66kv。 2.额定电压的确定: 根据66kV设备雷电冲击耐受电压(峰值)表,可知变压器类设备的内绝缘的耐受电压最高,为385kV,击穿电压和闪络电压都高于试验电压,考虑为研究试验取裕度系数;长期工作时冲击电压发生器会发生绝缘老化,考虑老化系数取;假定冲击电压发生器的效率为85%,故冲击电压发生器的标称额定电压应不低于: 由此确定冲击电压发生器的为660k v。 三、冲击电容的选择:

将试品电容估算为900p F,冲击电压发生器的对地杂散电容和高压引线及球隙等的电容估算为500p F,电容分压器的电容估算为600p F, 则总的负荷电容:C2≈900+500+600=2000(p F) 按冲击电容为负荷电容的10倍估算, 则冲击电容10000p F=5C2

多级交流放大器的设计

实验七多级交流放大器的设计 一.实验目的 1.学习多级交流放大器的设计方法。 2.掌握多级交流放大器的安装、调试与测量方法 二.预习要求 1.根据教材中介绍的方法,设计一个满足指标要求的多级交流放大器,计算出多级交流放大器中各元件的参数,画出标有元件值的电路图。 2.预习多级交流放大器的调试与测量方法,制定出实验方案,选择实验用的仪器设备。 三.实验原理 当需要放大低频范围内的交流信号时,可用集成运算放大器组成具有深度负反馈的交流放大器。由于交流放大器的级与级之间可以采用电容耦合方式,所以不用考虑运算放大器的失调参数和漂移的影响。因此,用运算放大器设计的交流放大器具有组装简单、调试方便、工作稳定等优点。 如果需要组成具有较宽频带的交流放大器,应选择宽带集成放大器,并使其处于深度负反馈。若要得到较高增益的宽带交流放大器,可用两个或两个以上的单级交流放大器级联组成。 在设计小信号多级宽带交流放大器时,输入到前级运算放大器的信号幅值较小,为了减小动态误差,应选择宽带运算放大器,并使它处于深度负反馈。由于运放的增益带宽积是一个常数,因此,加大负反馈深度,可以降低电压放大倍数,从而达到扩展频带宽度的目的。由于输入到后级运放的信号幅度较大,因此,后级运放在大信号的条件下工作,这时,影响误差的主要因素是运放的转换速率,运放的转换速率越大,误差越小。 四.设计方法与设计举例 1.设计方法与步骤: 169

170 (1)确定放大器的级数n 根据多级放大器的电压放大倍数A u Σ和所选用的每级放大器的放大倍数A ui ,确定多级 放大器的级数n 。 (2)选择电路形式 (3)选择集成运算放大器 先初步选择一种类型的运放,然后根据所选运放的单位增益带宽BW ,计算出每级放大 器的带宽。 ui Hi A BW f = (1) 并按(2)式算出。 121 ' -=n Hi Hi f f (2) 多级放大器的总带宽H f 必须满足: 'Hi H f f ≤ (3) 若'Hi H f f >,就不能满足技术指标提出的带宽要求,此时可再选择增益带宽积更高的 运放。一直到多级放大器的总带宽H f 满足(3)式为止。 当所选择的运放满足带宽要求后,对末级放大器所选用的运放,其转换速率R S 必须满足: om R U f S ?≥max 2π (4) 否则会使输出波形严重失真。 (4)选择供电方式 在交流放大器中的运放可以采用单电源供电或正负双电源供电方式。单电源供电与正 负双电源供电的区别是:单电源供电的电位参考点为负电源端(此时负电源端接地)。而正负双电源供电的参考电位是总电源的中间值(当正负电源的电压值相等时,参考电位为零)。 (5)计算各电阻值 根据交流放大器的输入电阻和对第一级电压放大倍数的要求,先确定出第一级的输入 电阻和负反馈支路的电阻,然后再根据第二级电压放大倍数的要求,确定出第二级的输入电阻和负反馈支路的电阻。按此顺序,逐渐地把每级的电阻值确定下来。 (6)计算耦合电容 当信号源的内阻和运放的输出电阻被忽略时,信号源与输入级之间、级与级之间的耦 合电容可按下式计算。 i L R f C π2)10~1(= (5) 上式中,i R 是耦合电容C 所在级的输入电阻。类似地输出电容可按下式计算。 L L R f C π2)10~1(= (6) 2.设计举例

冲击电压发生器学习资料

冲击电压发生器

1000kV冲击电压发生器及测量系统的设计 摘要:本文介绍了1000kV冲击电压发生器及测量系统的基本工作原理,分析了设计过程中的主要问题,结合冲击电压发生器的主要技术指标,对设计过程进行了详细讨论,给出了电路原理图及实物结构图,并对主要元器件进行了选择,最后利用仿真软件ATP对输出波形进行了仿真,以验证选择参数的正确性,同时对某些电路参数对冲击电压波形的影响作出了分析。 关键词:冲击电压发生器;电路设计;结构图;ATP仿真 电力系统的高压电气设备在运行时不仅要经常承受正常的工作电压作用,而且还有可能遭受短时雷电过电压和内部过电压的侵袭,所以高压电气设备在安装前要进行必要的过电压的绝缘耐受试验,比如模拟雷电过电压和操作过电压作用。冲击高压实验是耐压实验的一种,进行冲击高压实验是为了研究电气设备在运行中遭受雷电过电压和操作过电压作用时的绝缘性能[1]。 冲击电压发生器是高压实验室的基本设备之一,它是一种产生脉冲波的高电压发生装置。由于绝缘耐受冲击电压的能力与施加电压的波形有关,而实际冲击电压波形具有分散性,因此必须对于冲击电压波形参数做统一规定,以保证多次试验的重复性和不同试验条件下的结果的可比较性。我国采用国际电工委员会(IEC)标准规定标准冲击电压波形。即规定冲击电压波形为双指数型,波头时间为1.2uS,波尾时间为50us,冲击电压峰值一般为几十千伏到几兆伏。

1设计要求 1.1设计指标 设计一台1000kV的冲击电压发生器及测量系统,可以对2000pF的试品电容做冲击试验。 1.2基本要求 冲击电压发生器应该满足以下几个要求: 1)能产生1.2/50μs的标准雷电波。 2)能给2000pF以内的试品作冲击电压试验。 3)要求画出结构简图。 4)要求设计出各种元器件的参数(如电容、电阻器参数和型号等,球隙间距等)。 5)给出仿真波形并进行分析。 2冲击电压发生器的设计原理 如图1所示,为标准冲击电压波形。在经过时间T1时,电压从零上升到最大值,然后经过时间T2-T1,电压下降到最大值的一半。规定电压从零上升到最大值所用的时间T1称为波头时间(或称波前时间);电压从零开始经过最大值又下降到最大值一半的时间T2称为波尾时间(或称半峰值时间)。

多级放大电路的设计报告报告

电工电子技术课程设计报告 题目:多级放大电路的设计 二级学院机械工程学院 年级专业 14 动力本 学号 1401250029 学生姓名周俊 指导教师张云莉 教师职称讲师 报告时间:2015.12.28

目录 第一章.基本要求和放电电路的性能指标 (1) 第二章.概述和任务分析 (5) 第三章.电路原理图和电路参数 (6) 第四章.主要的计算过程 (9) 第五章.电路调试运算结果 (11) 第六章.总结 (12) 制作调试步骤及结果 (12) 收获和体会 (13) 第七章.误差和分析 (14) 第八章.参考文献 (15)

第一章.基本要求和放电电路的性能指标 1. 基本要求: 用给定的三极管2SC1815(NPN),2SA1015(PNP)设计多级放大器,已知V CC =+12V, -V EE =-12V ,要求设计差分放大器恒流源的射极电流I EQ3=1~1.5mA ,第二 级放大射极电流I EQ4=2~3mA ;差分放大器的单端输入单端输出不是真电压增益至 少大于10倍,主放大器的不失真电压增益不小于100倍;双端输入电阻大于10k Ω,输出电阻小于10Ω,并保证输入级和输出级的直流点位为零。设计并仿真实现。 2. 放电电路的性能指标: 第一种是对应于一个幅值已定、频率已定的信号输入时的性能,这是放大电路的基本性能。第二种是对于幅值不变而频率改变的信号输出时的性能。第三种是对应于频率不变而幅值改变的信号输入时的性能。 1.1第一种类型的指标: 1.放大倍数 放大倍数是衡量放大电路放大能力的指标。它定义为输出变化量的幅值与输入变化量的幅值之比,有时也称为增益。虽然放大电路能实现功率的放大,然而在很多场合,人们常常只关心某一单项指标的放大的倍数,比如电压或者电流的放大倍数。由于输出和输入信号都有电压和电流量,所以存在以下四中比值: (1-1) 1.

二级运算放大电路版图设计

1前言1 2二级运算放大器电路 1 2.1电路结构 1 2.2设计指标 2 3 Cadence仿真软件 3 3.1 schematic原理图绘制 3 3.2 生成测试电路 3 3.3 电路的仿真与分析 4 3.1.1直流仿真 4 3.1.2交流仿真 4 3.4 版图绘制 5 3.4.1差分对版图设计 6 3.4.2电流源版图设计 7 3.4.3负载MOS管版图设计 7 3.5 DRC & LVS版图验证 8 3.5.1 DRC验证 8 3.5.2 LVS验证 8 4结论 9 5参考文献 9

本文利用cadence软件简述了二级运算放大器的电路仿真和版图设计。以传统的二级运算放大器为例,在ADE电路仿真中实现0.16umCMOS工艺,输入直流电源为5v,直流电流源范围27~50uA,根据电路知识,设置各个MOS管合适的宽长比,调节弥勒电容的大小,进入stectre仿真使运放增益达到40db,截止带宽达到80MHz和相位裕度至少为60。。版图设计要求DRC验证0错误,LVS验证使电路图与提取的版图相匹配,观看输出报告,要求验证比对结果一一对应。 关键词:cadence仿真,设计指标,版图验证。 Abstract In this paper, the circuit simulation and layout design of two stage operational amplifier are briefly described by using cadence software. In the traditional two stage operational amplifier as an example, the realization of 0.16umCMOS technology in ADE circuit simulation, the input DC power supply 5V DC current source 27~50uA, according to the circuit knowledge, set up each MOS tube suitable ratio of width and length, the size of the capacitor into the regulation of Maitreya, the simulation of stectre amplifier gain reaches 40dB, the cut-off bandwidth reaches 80MHz and the phase margin of at least 60.. The layout design requires DRC to verify 0 errors, and LVS validation makes the circuit map matching the extracted layout, viewing the output report, and requiring verification to verify the comparison results one by one. Key words: cadence simulation, design index, layout verification.

冲击电压发生器实验报告

课程设计(综合实验)报告 名称:高电压课程设计 题目:冲击电压发生器的设计 院系:电气与电子工程学院 班级:电气1005 班 学号:1101440308 学生姓名:李雄 指导教师:王伟 设计周数:1周 成绩: 日期:2013年2月24日

一、实验目的与要求 设计一个冲击高压发生器,能够产生符合要求冲击的冲击高压。 掌握冲击电压发生器的工作原理、波形形成过程、波形参数描述与计算方法等。 掌握冲击电压发生器的参数设计、总体结构、器件选型和绝缘设计。 二、实验正文 1、试验具体要求及内容 1)概述 冲击电压发生器是产生冲击电压波的装置,用于试验电力耐受大气过电压和操作过电压时的绝缘性能,本装置主要用于教学及科学研究,冲击电压发生器能产生雷电冲击电压波形、操作冲击电压波形。 2)主要技术参数 (1)标称电压:p80kV;(2)额定电压等级:p20kV;(3)标称能量:0.8kJ; (4)每级主电容:0.01uF 20kV;(5)冲击总电容:0.025uF;(6)总级数:受材料所限,只做二级放电 (7)能产生以下波形: a.标准雷电冲击电压全波:p1.2/50uS,电压利用系数小于90%;波头时间1.2p±30%uS; b.标准操作冲击波:p250/2500uS,电压利用系数大于80%。 2、试验设计 (1)主接线图 由于本实验受实验仪器限制,将四级发生器改为两级发生器 (2)元件选择 本实验我小组设计为操作波,波形要求为波头时间250±20%μs,半波时间2500±60%μs 根据公式,波前时间 Tf=3.24Rf*C1*C2/(C1+C2) 两级电路C1取0.4980μF,C2取2100pF。 操作波发生器半峰值时间Tf=250μs,带入计算得Rf=102.577kΩ 根据公式,半峰值时间 Tt=0.693Rt(C1+C2)两级电路C1取0.4980μF,C2取2100pF。 操作波发生器半峰值时间Tt=2500μs,带入计算得Rt=3.6kΩ 3、仿真电路与结果 根据冲击电压发生器的等效放电原理图,设计仿真电路,利用电力系统电磁暂态分析的仿真软件EMTP进行仿真,按照计算数据设定参数值,电路图如下:

多级放大电路的分析与设计

摘要 电子设备中,往往需要放大微弱的信号,这主要是通过放大电路实现的。基本放大电路由单个晶体管或场效应管构成,为单级放大电路,其电压放大倍数可以达到几十倍。而当信号非常微弱时,单级放大电路无法满足放大需求,此时我们把若干个单级放大电路串接在一起,级联组成多级放大电路。 本文主要研究多级放大电路的分析与设计,根据各级电路级间耦合方式的不同,分别设计了直接耦合放大电路、阻容耦合放大电路和光耦合放大电路,分析了电路的静态工作点、电压放大倍数、输入电阻和输出电阻等指标特性。在此基础上,讨论了差分放大电路以及消除互补输出级交越失真的方法。 最后,以前面的讨论为基础,设计了一款具有差分输入的多级放大电路,对电路性能指标进行了设定,并分析了各部分的作用。

2.1直接耦合多级放大电路的设计 2.1.1 设计原理 根据设计要求,本设计主要采用两级放大,为了传递变化缓慢的直流信号,可以把前级的输出端直接接到后级的输入端。这种连接方式称为直接耦合。如图2.1所示。直接耦合式放大电路有很多优点,它既可以放大和传递交流信号,也可以放大和传递变化缓慢的信号或者是直流信号,且便于集成。实际的集成运算放大器其内部就是一个高增益的直接耦合多级放大电路。直接耦合放大电路,由于前后级之间存在着直流通路,使得各级静态工作点互相制约、互相影响。因此,在设计时必须采取一定的措施,以保证既能有效地传递信号,又要使各级有合适的工作点。

图2.1 直接耦合两级放大电路 通常在第二级的发射极接入稳压二极管,这样既提高了第二级的基级电位,也使第一级的集电极静态电位抬高,脱离饱和工作区,可以使整个电路稳定正常的工作,稳定三极管的静态工作点。 但是在一个多级放大电路的输入端短路时,输出电压并非始终不变,而是会出现电压的随机漂动,这种现象叫做零点漂移,简称零漂。产生零漂的原因有很多,主要是以下两点:一方面,由于元器件参数,特别是晶体管的参数会随温度的变化而变化;另一方面,即使温度不变化,元器件长期使用也会使远见老化,参数就会发生变化,由温度引起的叫做温漂,由元器件老化引起的叫做零漂,在多级放大电路中,第一级的影响尤为严重,它将被逐级放大,以至影响整个电路的工作,所以零漂问题是直接耦合放大电路的特殊问题。 解决零漂的方法有很多种,例如引入直流负反馈来稳定静态工作点,以减小零漂;利用温度补偿元件补偿放大管的零点漂移,利用热敏电阻或二极管来与工作管的温度特性相补偿;利用工作特性相同的管子构成对称的一种电路—差动放大电路,这是最为行之有效的方法,故本次设计采用差动放大电路来设计实现。

两级运算放大器

两级运算放大器实验报告 一、实验名称:两级运算放大器 二、实验目的: 1.熟悉掌握Orcad captureCIS的使用方法以及常见的仿真方法和参数设置。 2.利用Orcad captureCIS设计两级运算放大器,并完成要求功能。 3.掌握运算放大器中的增益、带宽、输出摆幅、压摆率、速率、噪声等各个参数之间的折中调试。 三、实验步骤: (一)参数要求: 1.电源电压VCC= 2.7V. 2.CL=10pF. 3.增益Ad>80dB. 4.增益带宽积GW>5M. 5.共模电压输入范围ICMR=1~2V. 6.共模抑制比CMRR>70dB. 7.输出电压摆幅>2V. 8.diss<1mW. 9.SR>10V/us (二)实验步骤及数据: (1)由参数要求,共模电压输入范围为1~2V,电源电压为2.7V,Pdiss<1mW,由这些参数以及相位余度要为60度,由相应的公式估算出来,电路如图所示: 如电路所示,为一个差分输入级与共源放大器组成,采用了密勒补偿,按照计算步骤确定各个元件参数之后,下边进行仿真验证与调试。 (2)交流仿真验证增益带宽是否满足,仿真结果如图所示:

如图结果,增益Av=82dB,增益带宽积GW=6.6M,相位裕度有42度,满足要求,并且还有一定的余量。 (3)交流仿真验证共模电压输入范围ICMR与共模抑制比CMRR是否满足要求,仿真电路如图所示: 1、在仿真验证CMRR之前,先做了一个增益随共模输入电压的变化曲线,大致了解共模电压输入范围,结果如图所示: 如图所示,增益在大于80dB时,共模电压输入范围为0.96V~2.66V,能达到要求,且还有余量。 2、现在仿真验证一下CMRR随共模电压的变化曲线,需要更改仿真电路图,更改的电路图如图所示:

冲击电压发生器MATLAB程序

《高电压综合实验》冲击电压发生器设计MATLAB分析部分

一〃冲击电压发生器的功用及原理 冲击电压发生器是一种产生脉冲波的高电压发生装臵。原先它只被用于研究电力设备遭受大气过电压(雷击)时的绝缘性能,近年来又被用于研究电力设备遭受操作过电压时的绝缘性能。所以对冲击电压发生器的要求,不仅能产生出现在电力设备上的雷电波形,还能产生操作过电压波形。冲击电压的破坏作用不仅决定于幅值,还与波形陡度有关,对某些设备要采用截断波来进行试验。 冲击电压发生器要满足两个要求:首先要能输出几十万到几百万伏的电压,同时这电压要具有一定的波形。它的原理如下:(图见纸质报告) 实验变压器T和高压硅堆D构成整流电源,经过保护电阻r及充电电阻R向主电容器C1 —C4 充电,充电到U,出现在球隙g1—g4上的电位差也为U,若事先把球间隙距离调到稍大于U,球隙不会放电。当需要使冲击机动作时,可向点火球隙的针极送去一脉冲电压,针极和球皮只见产生一小火花,引起点火球隙放电,于是电容器C1的上极板经g1接地,点1电位由地电位变为-U。电容器C1与C2间有充电电阻R隔开,R比较大,在g1放电瞬间,点2和点3电位不可能突然改变,点3电位仍为+U,中间球隙g2上的电位差突然升到2U,g2马上放电,于是点2电位变为-2U。同理,g3,g4也跟着放电,电容器C1—C4串联起来了,最后球隙g0也放电,此时输出电压为C1—C4上电压的总和,即-4U。上述一系列过程可被概括为“电容器并联充电,而后串联放电” 二.设计目标: 输出波形为0.5/55μs标准波形,回路采用高效率回路,输出电压为100kV,发生器级数为8级。 MATLAB仿真分析: Rf=79.7;Rt=2928.6;

相关文档
最新文档