verilog语句可综合vs不可综合
可综合的Verilog语法子集总汇

常用的RTL语法结构如下:☆模块声明:module……endmodule☆端口声明:input,output,inout(inout的用法比较特殊,需要注意)☆信号类型:wire,reg,tri等,integer常用语for语句中(reg,wire时最常用的,一般tri和integer 不用)☆参数定义:parameter☆运算操作符:各种逻辑操作符、移位操作符、算术操作符大多时可综合的(注:===与!==是不可综合的)☆比较判断:if……else,case(casex,casez)……default endcase☆连续赋值:assign,问号表达式(?:)☆always模块:(敏感表可以为电平、沿信号posedge/negedge;通常和@连用)☆begin……end(通俗的说,它就是C语言里的“{ }”)☆任务定义:task……endtask☆循环语句:for(用的也比较少,但是在一些特定的设计中使用它会起到事半功倍的效果)☆赋值符号:= 和<= (阻塞和非阻塞赋值,在具体设计中时很有讲究的)可综合的语法时verilog可用语法里很小的一个子集,用最精简的语句描述最复杂的硬件,这也正是硬件描述语言的本质。
对于做RTL级设计来说,掌握好上面这些基本语法是很重要。
相信大家在看了这么多了verilog语法书籍以后一定有点烦了,那么现在我告诉大家一个好消息,对于一个RTL级的设计来说,掌握了上面的语法就已经足够了,无论多么牛逼的工程师,在他的代码里无非也就是上面一些语法而已。
当然了,对于一个能够进行很好的仿真验证的代码,一般还需要在RTL级的设计代码中添加一些延时之类的语句,比如大家一定知道#10的作用,就是延时10个单位时间,这个语句虽然在仿真的时候是实实在在的延时,但是这个语句在综合后是会被忽略的,也就是说在我们综合并且布局布线最后烧进FPGA里,这个#10的延时是不会在硬件上实现的。
所以说,上面给出的这些语法才是可以最后在硬件上实现的,其它的语法大多会在综合后被忽略。
可综合的verilog

Function和task有的工具支持,有的工具不支持,一般函数描述纯组合逻辑可以综合。
1. 可综合1. 所有综合工具都支持的结构[plain]view plaincopy1.always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter有些工具支持,有些工具不支持:casex,casez,wand,triand,wor,trior,real,disable,forever,arrays,memories,repreat,task,while 2. 建立可综合模块的原则1. 不要用initial(FPGA上电时初始状态不定,一般需要上电复位信号,在复位信号有效的时候进行初始化,上电复位信号可以由外部手动输入,也可以系统自己产生,转一篇博客,P.S.现在的综合软件功能已经足够强大,即使写了initial语句,在ISE13.3中仍然是可综合的,而且没有warning和info的提示)2. 不使用#10(在仿真中有用,实际在硬件上不会实现)3. 不使用循环次数不定的循环语句,如forever、while等4. 不使用用户自定义原语(UDP原件)5. 除非是关键路径设计,一般不采用调用门级原件描述的设计的方法,建议采用行为语句完成设计6. 尽量使用同步方式设计电路7. 用always语句描述组合逻辑时,在敏感信号列表中要列出所有输入信号8. 所有的内部寄存器都应该可以被复位,在FPGA设计时应尽量使用器件的全局复位端信号作为系统的总复位9. 时序逻辑使用非阻塞赋值,组合逻辑使用阻塞赋值,同一过程块中不要同时使用阻塞和非阻塞两种方式10. 不要在不同的always过程块中对同一变量赋值(否则综合时会提示有多驱动源错误,multiple source),对同一赋值对象,不能既使用阻塞赋值,又使用非阻塞赋值11. 如果不打算把变量综合成锁存器,在if语句或case语句的所有分支中都要对变量明确赋值(不能省去else或default,原理:在省去的情况下,变量的值会保持原来的值不变,所以系统会综合出一个锁存器)12. 避免混合使用上升沿和下降沿触发器13. 同一变量的赋值不能受多个时钟控制,也不能受两种不同时钟条件(或不同时钟沿)控制14. 避免在case语句中使用x或z值2. 不可综合1. 所有综合工具都支持的结构[plain]view plaincopy1.time,defparam,$finish,fork,join,initial,delays,UDP,wait2. 不可综合语句1. initial 只能在Testbench中使用,不能综合2. events 在Testbench中更有用,不能综合3. real 不支持real类型的综合4. time 不支持time类型的综合5. force 和release6. assign 和deassign不支持对reg类型的数据进行assign和deassign综合,支持对wire类型进行assign和deassign的综合7. fork join 不可综合,可以用非块语句达到同样的效果8. primitives 支持门级原语综合,不支持非门级原语综合9. table 不支持table和UDP的综合10. 敏感符列表中同时有posedge和negedge,如always @ ( posedgeclk ornegedgeclk ) begin ...end11. 同一个reg被多个always块驱动12. 延时,不可综合为硬件电路延时,综合工具会忽略延时,但是不会报错13. 与x、z比较,综合工具会忽略,所以要保证信号只有两个状态,0或1。
systemverilog 可综合 语法

systemverilog 可综合语法-概述说明以及解释1.引言1.1 概述SystemVerilog是一种硬件描述语言,其可综合语法用于描述硬件设计的行为和结构。
可综合语法是指在编写SystemVerilog代码时,能够被综合工具翻译成底层硬件电路,并最终映射到FPGA或ASIC等可编程器件上的语法规则和风格。
因此,可综合语法在硬件设计中起着至关重要的作用。
在硬件设计中,可综合语法使设计工程师能够通过代码描述硬件的功能和结构,包括处理器、逻辑电路、存储器等。
通过使用可综合语法,设计工程师可以更加灵活地实现各种功能和性能要求,同时也能提高设计的可维护性和可重用性。
SystemVerilog的可综合语法特点是其结构化的设计风格,丰富的数据类型和内置的高级语言功能。
与传统的硬件描述语言相比,SystemVerilog提供了更多的抽象层次和编程特性,可以更高效地完成复杂的硬件设计任务。
例如,SystemVerilog支持面向对象的设计方法,可以使用类和对象对设计进行建模和封装。
此外,SystemVerilog还提供了多种数据类型和运算符,使设计工程师可以更方便地处理各种数据和信号。
综上所述,可综合语法在SystemVerilog中具有重要的地位和作用。
通过使用可综合语法,设计工程师能够更加方便地描述和实现各种硬件功能,提高设计的效率和可靠性。
在今后的硬件设计中,可综合语法的应用将更加广泛,并且不断发展和完善,以满足不断变化的设计需求。
1.2 文章结构文章结构部分的内容可以包括以下内容:文章结构的目的是为了给读者提供清晰的导航和理解文章的逻辑框架。
通过合理的结构,读者可以更好地理解文章的目的和内容,并能够有序地阅读和理解整个文档。
本文的结构如下:第一部分是引言部分,用于介绍文章的背景和相关信息。
在引言部分,我们将概述SystemVerilog可综合语法的定义和作用,并介绍本文的结构和目的。
第二部分是正文部分,主要内容是关于SystemVerilog可综合语法的定义和特点。
Verilog中的一些语法和技巧

Verilog中的⼀些语法和技巧1、.2、.3、Reg型的数据类型默认初始值为X。
reg型数据可以赋正值也可以赋负值,但是当⼀个reg型数据是⼀个表达式的操作数的时候,他的值被当做⽆符号数及正值。
4、在数据类型中?和Z均表⽰⾼阻态。
5、Reg型只表⽰被定义的信号将⽤在“always”模块内,并不是说reg型⼀定是寄存器或触发器的输出。
虽然reg型信号常常是寄存器或触发器的输出但是并不⼀定总是这样。
6、Verilog语⾔中没有多维数组的存在。
Memory型数据类型是通过扩展reg型数据的弟⼦和范围来⽣成的。
其格式如下reg[n-1:0]存储器名[m-1:0];7、在除法和取余的运算中结果的符号和第⼀个操作数的符号位是相同的。
8、不同长度的数据进⾏运算:两个长度不同的数据进⾏位运算时,系统会⾃动地将两者按有端对齐,位数少的操作数会在相应的⾼位⽤0填满以便连个操作数安慰进⾏操作。
9、= = =与!= = =和= =与!= =的区别:后者称为逻辑等是运算符,其结果是2个操作数的值决定的。
由于操作书中某些位可能不定值x和⾼阻态z结果可能是不定值x。
⽽ = = =和!= = =运算符对操作数的⽐较时对某些位的⾼阻态z和不定值x也进⾏⽐较,两个操作数必须完全⼀致,其结果才是1,否则是0.10、⾮阻塞和阻塞赋值⽅式:⾮阻塞赋值⽅式(如a<=b)上⾯语句所赋得变量值不能⽴即被下⾯语句所⽤,(2)快结束后才能完成这次赋值操作 3在编写克综合的时序逻辑模块时这是最常⽤的赋值⽅法。
阻塞赋值(如a=b)赋值语句执⾏完后,块才结束 2 b的值在赋值语句完成后⽴即执⾏ 3在时序逻辑使⽤中,可能产⽣意想不到的结果。
11、模块的描述⽅式:(RTL为寄存器传输级描述)“(1)数据流描述⽅式:数据流⾏描述主要⽤来描述组合功能,具体⽤“assign”连续赋值语句来实现。
分为两种a、显式连续赋值语句;连线型变量类型[连线型变量为快]连线型变量名Assign #(延时量)连线型变量名=赋值表达式;显式连续赋值语句包含了两条语句;第⼀条是对连线型变量的进⾏类型说明的说明语句;第⼆句是对这个已得到声明的连线型变量进⾏连续赋值语句。
verilog语言的综合与不可综合

Verilog的综合与不可综合综合说明编的代码可以对应出具体的电路,不可综合说明没有对应的电路结构。
不可综合的代码编译通过,只能看到输出,不能实现电路,就是不能用来制作具体的芯片。
一、基本Verilog中的变量有线网类型和寄存器类型。
线网型变量综合成wire,而寄存器可能综合成WIRE,锁存器和触发器。
二:verilog语句结构到门级的映射1、连续性赋值:assign连续性赋值语句逻辑结构上就是将等式右边的驱动左边的结点。
因些连续性赋值的目标结点总是综合成由组合逻辑驱动的结点。
Assign语句中的延时综合时都将忽视。
2、过程性赋值:过程性赋值只出现在always语句中。
阻塞赋值和非阻塞赋值就该赋值本身是没有区别的,只是对后面的语句有不同的影响。
建议设计组合逻辑电路时用阻塞赋值,设计时序电路时用非阻塞赋值。
过程性赋值的赋值对象有可能综合成wire,latch,和flip-flop,取决于具体状况。
如,时钟控制下的非阻塞赋值综合成flip-flop。
过程性赋值语句中的任何延时在综合时都将忽略。
建议同一个变量单一地使用阻塞或者非阻塞赋值。
3、逻辑操作符:逻辑操作符对应于硬件中已有的逻辑门4、算术操作符:Verilog中将reg视为无符号数,而integer视为有符号数。
因此,进行有符号操作时使用integer,使用无符号操作时使用reg。
5、进位:通常会将进行运算操作的结果比原操作数扩展一位,用来存放进位或者借位。
如:Wire [3:0] A,B;Wire [4:0] C;Assign C=A+B;C的最高位用来存放进位。
6、关系运算符:关系运算符:<,>,<=,>=和算术操作符一样,可以进行有符号和无符号运算,取决于数据类型是reg,net还是integer。
7、相等运算符:==,!=注意:===和!==是不可综合的。
可以进行有符号或无符号操作,取决于数据类型8、移位运算符:左移,右移,右边操作数可以是常数或者是变量,二者综合出来的结果不同。
Verilog设计的可综合性与问题分析

Verilog设计的可综合性与问题分析前⾔⽤Verilog HDL编写的设计模块最终要⽣成实际⼯作的电路,因此,设计模块的语法和编写代码风格会对后期电路产⽣影响,所以,若要编写可实现的设计模块,就需要注意⼀些问题可综合语法可综合的设计是最终实现电路所必需的,所以弄清哪些语法是可综合的,哪些语法是不可综合的⾮常有必要,⽽且设计者也必须知道⼀个代码能否被综合成最终电路;例如:写⼀个简单的除法a/b,想妄图直接通过综合⼯具⽣成⼀个除法器是不现实的,还有有符号数和浮点数的时候也需要注意。
总之,设计者的思路定要从软件⾓度转变到硬件⾓度,很多在软件中可以直接使⽤的情况到了硬件电路就需要从很底层的⾓度来编写。
可综合的语句有:1)module 与 endmodule 模块声明的关键字2)输⼊input,输出output和双向端⼝inout的声明3)变量类型reg,wire,integer4)参数parameter和宏定义define5)所有的Verilog HDL内建门,如:add,or之类的门6)数据流语句assign语句7)⾏为级中敏感列表⽀持电平和边沿变化,类似posedge,negedge8)always,function可以被综合,task中如果不含延迟可以被综合9)顺序块begin……end可以被综合10)if和 case语句可以被综合不可被综合的语句在Verilog HDL中不可被综合的语法这⾥也简单列出来:(1)初始化initial结构不能被综合,电路中不会存在这样的单元。
电路中⼀旦通电就会⾃动获得初始值,除此之外时序电路可以⽤复位端完成初始化组合,电路不需要初始化(2)#带来的延迟不可被综合。
电路中同样也不会存在这样简单的延迟电路,所有的延迟都要通过计时电路或交互信号来完成(3)并⾏块fork…join不可被综合,并⾏块的语义在电路中不能被转化(4)⽤户⾃定义原语UP不可被综合(5)时间变量time和实数变量real不能被综合(6) wait ,event , repeat ,forever等⾏为级语法不可被综合(7)⼀部分操作符可能不会被综合,例如,除法/操作和求余数%操作补充:综合⼯具也在不断更新和加强,有些现在不能被综合的语法慢慢地会变得可以综合,像⽐较简单的initial结构在⼀些 FPGA⼯具中也可以被识别,同时能被转化为电路形式。
verilog可综合数据分配器

verilog可综合数据分配器可综合数据分配器⼀路输⼊,8路输出位宽为32位的数据分配器,3位计数器作为分配到哪⼀路的条件,module shujufenpei(rst,clk,din,dout0,dout1,dout2,dout3,dout4,dout5,dout6,dout7,cnt);input [31:0] din;input clk,rst;output [31:0] dout0,dout1,dout2,dout3,dout4,dout5,dout6,dout7;output [2:0] cnt;//reg [31:0] dout0,dout1,dout2,dout3,dout4,dout5,dout6,dout7;reg [2:0] cnt;always @(posedge clk)beginif(!rst)cnt<=0;elsecnt<=cnt+1;end本⼈从事嵌⼊式系统⽅⾯⼯作多年,在VerilogHDL,vxworks,C,ARM,单⽚机,VC等⽅⾯都有丰富的经验,真诚寻找项⽬合作机会,也承接毕业设计,⼿⾥有⼀些源代码,欢迎有需要的朋友联系我,保证会保质保量的完成。
我的QQ:331739036。
另外也欢迎志同道合的朋友⼀起交流合作assign dout0 = (cnt==3'd0)?din:32'd0;assign dout2 = (cnt==3'd2)?din:32'd0; assign dout3 = (cnt==3'd3)?din:32'd0; assign dout4 = (cnt==3'd4)?din:32'd0; assign dout5 = (cnt==3'd5)?din:32'd0; assign dout6 = (cnt==3'd6)?din:32'd0; assign dout7 = (cnt==3'd7)?din:32'd0;endmodule测试程序:module test3_v;// Inputsreg rst;reg clk;reg [31:0] din;// Outputswire [31:0] dout0;wire [31:0] dout1;wire [31:0] dout2;wire [31:0] dout3;wire [31:0] dout4;wire [31:0] dout5;wire [31:0] dout6;wire [31:0] dout7;wire [2:0] cnt;// Instantiate the Unit Under Test (UUT) shujufenpei uut (.rst(rst),.clk(clk),.din(din),.dout0(dout0),.dout2(dout2),.dout3(dout3),.dout4(dout4),.dout5(dout5),.dout6(dout6),.dout7(dout7),.cnt(cnt));always #5 clk=~clk;initial begin// Initialize Inputsclk = 0;din = 0;rst=0;// Wait 100 ns for global reset to finish#100;rst=1;// Add stimulus here#100;din=32'hfffffffe;endendmodule注意:计数器cnt⼀定要在复位时设为0,否则,波形没有可综合数据分配器⼀路输⼊,8路输出位宽为32位的数据分配器,3位计数器作为分配到哪⼀路的条件,module shujufenpei(rst,clk,din,dout0,dout1,dout2,dout3,dout4,dout5,dout6,dout7,cnt); input [31:0] din;output [31:0] dout0,dout1,dout2,dout3,dout4,dout5,dout6,dout7; output [2:0] cnt;//reg [31:0] dout0,dout1,dout2,dout3,dout4,dout5,dout6,dout7; reg [2:0] cnt;always @(posedge clk)beginif(!rst)cnt<=0;elsecnt<=cnt+1;endassign dout0 = (cnt==3'd0)?din:32'd0;assign dout1 = (cnt==3'd1)?din:32'd0;assign dout2 = (cnt==3'd2)?din:32'd0;assign dout3 = (cnt==3'd3)?din:32'd0;assign dout4 = (cnt==3'd4)?din:32'd0;assign dout5 = (cnt==3'd5)?din:32'd0;assign dout6 = (cnt==3'd6)?din:32'd0;assign dout7 = (cnt==3'd7)?din:32'd0;endmodule测试程序:module test3_v;// Inputsreg rst;reg clk;reg [31:0] din;wire [31:0] dout0;wire [31:0] dout1;wire [31:0] dout2;wire [31:0] dout3;wire [31:0] dout4;wire [31:0] dout5;wire [31:0] dout6;wire [31:0] dout7;wire [2:0] cnt;// Instantiate the Unit Under Test (UUT) shujufenpei uut (.rst(rst),.clk(clk),.din(din),.dout0(dout0),.dout1(dout1),.dout2(dout2),.dout3(dout3),.dout4(dout4),.dout5(dout5),.dout6(dout6),.dout7(dout7),.cnt(cnt));always #5 clk=~clk;initial begin// Initialize Inputsclk = 0;din = 0;rst=0;// Wait 100 ns for global reset to finish #100;// Add stimulus here#100;din=32'hfffffffe;endendmodule注意:计数器cnt⼀定要在复位时设为0,否则,波形没有。
Verilog可综合与不可综合语句汇总

1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter。
(2)所有综合工具都不支持的结构:time,defparam,$finish,fork,join,initial,delays,UDP,wait。
(3)有些工具支持有些工具不支持的结构:casex,casez,wand,triand,wor,trior,real,disable,forever,arrays,memories,repeat,task,while。
建立可综合模型的原则要保证Verilog HDL赋值语句的可综合性,在建模时应注意以下要点:(1)不使用initial。
(2)不使用#10。
(3)不使用循环次数不确定的循环语句,如forever、while等。
(4)不使用用户自定义原语(UDP元件)。
(5)尽量使用同步方式设计电路。
(6)除非是关键路径的设计,一般不采用调用门级元件来描述设计的方法,建议采用行为语句来完成设计。
(7)用always过程块描述组合逻辑,应在敏感信号列表中列出所有的输入信号。
(8)所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时,应尽量使用器件的全局复位端作为系统总的复位。
(9)对时序逻辑描述和建模,应尽量使用非阻塞赋值方式。
对组合逻辑描述和建模,既可以用阻塞赋值,也可以用非阻塞赋值。
但在同一个过程块中,最好不要同时用阻塞赋值和非阻塞赋值。
(10)不能在一个以上的always过程块中对同一个变量赋值。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
1)所有综合工具都支持的结构:
always,assign,begin,end,case,wire,tri,supply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter。
2)所有综合工具都不支持的结构:
time,defparam,$finish,fork,join,initial,delays,UDP,wait。
3)有些工具支持有些工具不支持的结构:
casex,casez,wand,triand,wor,trior,real,disable,forever,arrays,memories,repeat,task,while。
建立可综合模型的原则
要保证Verilog HDL赋值语句的可综合性,在建模时应注意以下要点:
1)不使用initial。
2)不使用#10。
3)不使用循环次数不确定的循环语句,如forever、while等。
4)不使用用户自定义原语(UDP元件)。
5)尽量使用同步方式设计电路。
6)除非是关键路径的设计,一般不采用调用门级元件来描述设计的方法,建议采用行为语句来完成设计。
7)用always过程块描述组合逻辑,应在敏感信号列表中列出所有的输入信号。
8)所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时,应尽量使用器件的全局复位端作为系统总的复位。
9)对时序逻辑描述和建模,应尽量使用非阻塞赋值方式。
对组合逻辑描述和建模,既可以用阻塞赋值,也可以用非阻塞赋值。
但在同一个过程块中,最好不要同时用阻塞赋值和非阻塞赋值。
10)不能在一个以上的always过程块中对同一个变量赋值。
而对同一个赋值对
象不能既使用阻塞式赋值,又使用非阻塞式赋值。
11)如果不打算把变量推导成锁存器,那么必须在if语句或case语句的所有条件分支中都对变量明确地赋值。
12)避免混合使用上升沿和下降沿触发的触发器。
13)同一个变量的赋值不能受多个时钟控制,也不能受两种不同的时钟条件(或者不同的时钟沿)控制。
14)避免在case语句的分支项中使用x值或z值。
不可综合verilog语句
1、initial
(我用ISE9.1综合时,有的简单的initial 只能在test bench中使用,不能综合。
也可以综合,不知道为什么)
2、events
event在同步test bench时更有用,不能综合。
3、real
不支持real数据类型的综合。
4、time
不支持time数据类型的综合。
5、force 和release
不支持force和release的综合。
6、assign 和deassign
不支持对reg 数据类型的assign或deassign进行综合,支持对wire数据类型的assign或deassign进行综合。
7、fork join
不可综合,可以使用非块语句达到同样的效果。
8、primitives
支持门级原语的综合,不支持非门级原语的综合。
9、table
不支持UDP 和table的综合。
10、敏感列表里同时带有posedge和negedge
如:always @(posedge clk or negedge clk) begin...end
这个always块不可综合。
11、同一个reg变量被多个always块驱动
12、延时
以#开头的延时不可综合成硬件电路延时,综合工具会忽略所有延时代码,但不会报错。
如:a=#10 b;
这里的#10是用于仿真时的延时,在综合的时候综合工具会忽略它。
也就是说,在综合的时候上式等同于a=b;
13、与X、Z的比较
可能会有人喜欢在条件表达式中把数据和X(或Z)进行比较,殊不知这是不可综合的,综合工具同样会忽略。
所以要确保信号只有两个状态:0或1。
如:
1 module synthesis_compare_xz (a,b);
2 output a;
3 input b;
4 reg a;
5
6 always @ (b)
7 begin
8 if ((b == 1'bz) || (b == 1'bx)) begin
9 a = 1;
10 end else begin
11 a = 0;
12 end
13 end
14
15 endmodule。