自动售报机设计

合集下载

自动售货机设计(机械)(机械cad图纸)大学毕设论文

自动售货机设计(机械)(机械cad图纸)大学毕设论文

本科机械毕业设计论文CAD图纸QQ 401339828摘要随着社会不断的进步,人们的物质文化与精神文化都在明显的提高,这样表现在出入各个场所采用信用卡支付现金,一人一部手机,节假日看电影,坐地铁,听音乐会,逛步行街。

这个现象都表明在物质生活提高的同时,精神生活也提高了,随处可见的出租车,还有随处可见的售货机,这在繁华的都市也已成为不可缺少的一个为市民提供方便的一部机器,只需要投几个硬币就可以选择自己想要的物品。

售货机多种多种,我根据所学的本领,设计了罐装饮料售货机。

市场上普遍的饮料售货机有几种,我针对灌状饮料售货机的原理设计了一种方便市民生活的饮料售货机。

它相比较之下有一定的优点,也有缺点,但缺点不是主要的,所以生产推广起来一定会受到广大群众的喜爱。

关键词:自动售货机售货机售货机原理本科机械毕业设计论文CAD图纸QQ 401339828ABSTRACTAlong with the social continuous progress, the people's material culture and the spirit cultureses all in the obvious exaltation, express thus at come in and go out the adoption credit card in the each place to pay to pay by cash, a cellular phone of one person, the stanza day off go to the movie, sitting the subway, go to the concert, strolling the on foot street...This phenomenons all express at the tim e of physical life raise, the spiritual life also raised, everywhere it is thus clear that of for-rent car, still have everywhere it is thus clear that of beverage sell goods the machine automatically, this a machine that provides the convenience for the citizenry that also has become the indispensability in the prosperous city, need to throw the beverage that a few coins can choose the oneself to want only.The widespread beverage sells goods on the market the machine contain several kinds, I aimed at to infuse the principle that the form beverage sells goods the machine to design a kind of beverage of convenient citizenry life to sell goods the machine.It mutually the in comparison contain certain advantage, also have the weakness, but the weakness is not main, so the production expands and would certainly be like by the large crowd.Keyword:Sell goods the machine to sell goods the machine to sell goods the machine principle automatically本科机械毕业设计论文CAD图纸QQ 401339828目录摘要 (Ⅰ)ABSTRACT (Ⅱ)第一章绪论 (1)第二章售货机的发展史及意义目标 (2)2.1自动售货机机的发展现状 (2)2.1.1国外现状 (2)2.1.2国内现状 (2)2.2发展目标 (7)2.4技术参数 (7)第三章总体方案设计 (8)3.1电磁控制 (8)3.2双层设计 (8)第四章机械结构的设计及计算 (10)4.1轴的设计及计算 (10)4.1.1轴的选用计算 (10)4.1.2轴的分类 (12)4.1.3轴的材料和毛坯 (12)4.1.4失效形式与设计准则 (13)4.2电磁铁应用设计计算方法 (13)4.2.1电磁学基本原理 (13)4.2.2电磁铁吸力计算公式 (16)4.3弹簧的选用设计及计算 (17)4.4连接部分的设计 (28)4.5焊接 (24)4.6柜体传热分析 (29)4.7机架的设计 (30)第五章设计的不足与设想 (34)结论 (35)参考文献 (36)本科机械毕业设计论文CAD图纸QQ 401339828附录Ⅰ步进电机的基本原理 (37)附录Ⅱ外文翻译部分 (40)致谢 (52)本科机械毕业设计论文CAD图纸QQ 401339828本科机械毕业设计论文CAD图纸QQ 401339828本科机械毕业设计论文CAD图纸QQ 401339828第一章绪论时间过的真快,大学生活即将结束。

基于PLC自动售货机控制系统设计

基于PLC自动售货机控制系统设计

基于PLC自动售货机控制系统设计引言自动售货机作为一种便捷的零售方式,已经广泛应用于各种场景,如商场、学校、车站等。

为了提高自动售货机的功能和性能,PLC(可编程逻辑控制器)被引入到控制系统中。

PLC作为一种可编程的电子设备,能够根据预定的程序和逻辑来控制各种设备和过程。

本文将详细介绍基于PLC自动售货机控制系统设计的相关内容。

一、PLC控制系统概述1.1 PLC基本原理PLC是一种用于工业自动化领域的电子设备,它能够根据预定的程序来实现各种控制功能。

其工作原理是通过输入模块接收外部信号,经过处理后通过输出模块输出信号来实现对设备和过程的控制。

1.2 PLC在自动售货机中的应用在传统自动售货机中,通常使用微处理器或嵌入式系统来实现对设备和过程的控制。

然而,这些传统方式存在着功能有限、扩展性差等问题。

而使用PLC作为自动售货机的控制器,则可以克服这些问题,并且具有更好的稳定性和可靠性。

二、PLC自动售货机控制系统设计2.1 系统结构设计PLC自动售货机控制系统的结构主要包括输入模块、处理单元(CPU)、输出模块和外部设备。

其中,输入模块用于接收外部信号,CPU用于处理信号并执行相应的控制程序,输出模块用于输出信号,外部设备包括货道、显示屏等。

2.2 系统功能设计PLC自动售货机控制系统的功能主要包括货道管理、库存管理、支付管理和故障处理等。

其中,货道管理功能用于管理各个货道上商品的存放和取出;库存管理功能用于实时监测商品库存并生成相应的报表;支付管理功能用于接收用户支付信息并完成交易;故障处理功能用于检测设备故障并进行相应的处理。

2.3 系统程序设计PLC自动售货机控制系统的程序设计是实现各个功能的关键。

在程序设计中,需要考虑到各个输入信号和输出信号之间的关系,并编写相应的逻辑代码。

此外,还需要考虑到异常情况下的处理方式,并编写相应代码进行异常处理。

三、PLC自动售货机控制系统实现3.1 硬件实现PLC自动售货机控制系统的硬件实现主要包括PLC设备的选择和配置,输入模块和输出模块的连接,以及外部设备的接入等。

《自动售货机设计开题报告》

《自动售货机设计开题报告》
二、国内外研究综述
科技的变革也带来售货机功能的延伸和扩展,例如现己有视频监控功能、身份识别购物功能的售货机。对于通用型的、完成零售基础功能的自动售货机,国内外研究方向主要集中在以下两点:(1)对出货方式的研究;(2)对支付方式的研究。
(1)对出货方式的研究 售货机商品出货简单来说就是使用电气控制模块对机械结构进行控制。在出货机械结构上,螺旋弹簧推动型售货机是通过控制与螺旋弹簧圈相连接的旋转电机的转动与停止来控制弹簧圈转动的角度,进而控制放置于弹簧间隔的商品脱离弹簧落入售货机的取货口处,最终完成商品的出货。旋转电机轴上的凸出可以很好反馈电机旋转的圈数,进而做到商品精准出货。第二种出货机械结构是机械手推动,该结构由传感器控制,出货过程精准,但成本高。在电气控制上,中央处理器的选择也是多种多样,有ARM, PLC, FPGA等。面对不同的用户需求和应用环境,处理器的选择也最终决定了外围电路的设计、通信的方式、整机的成本。
四、研究思路与研究方法
文献研究法,本文通过查找大量的有关PLC和自动售货机的相关文献,进一步研究自动售货机研究的最新动态和学术前沿,并对相关理论进行了归纳整理。
五、主要参考文献
[1]方涵,舒松,刘超男,熊德勇,刘建准. 非处方药自动售卖机与医药线上助手的市场可行性分析[J]. 教育教学论坛,2019(06):90-91.
[5]张岚清,陆俊汕. 药品自动售卖机在我国药品消费市场的发展前景分析[J]. 现代营销(信息版),2019(11):50-51.
[6]王鑫,叶瑜敏. 非处方药自动售卖机市场的SWOT分析[J]. 经济研究导刊,2014(32):157-159.
[7]红乐,陈伊萌. 夏耀红:多媒体自动售卖机改变快消品传播模式[J]. 国际公关,2015(03):24-25.

自动售货机总体方案设计

自动售货机总体方案设计

自动售货机概述自动售货机是可完成无人售货、光机电一体化的商业自动化设备。

自动售货机不受任何场地限制,方便快捷,可以每天24小时售货,因此深受上班族的欢迎。

很多城市的公共场所都放置有自动售货机,出售的商品五花八门,从饮料、零食、香烟、糖果,到牙刷、方便面、自动照相机等。

近年来,我国的自动售货机行业突飞猛进,在汽车总站、商厦、医院、小区、学校等地区纷纷涌现出自动售货机的踪迹。

这种方便快捷的购物方式越来越受到人们的青睐,同时也是现代化城市配套设施的需求。

自动售货机功能分析在进行上、下位机程序编写之前,首先要做的工作是确定自动售货机本身所具备的功能及在进行某种操作后所具有的状态。

售货机的基本功能就是对投入的货币进行运算,并根据货币数值判断是否能够购买某种商品,并作出相应的反应。

举一个简单的例子来说明,例如:售货机中有8种商品,其中01号商品(代表第一种商品)价格为2.50元,02商品为3.50元,其余类推。

现投入1个1元硬币,当投入的货币超过01商品的价格时,01商品的选择按钮处应有变化,提示可以购买,其他商品同此。

当按下选择01商品的价格时,售货机进行减法运算,从投入的货币总值中减去01商品的价格同时启动相应的电机,提取01号商品到出货口。

此时售货机继续等待外部命令,如继续交易,则同上,如果此时不再购买而按下退币按钮,售货机则要进行退币操作,退回相应的货币,并在程序中清零,完成此次交易。

由此看来,售货机一次交易要涉及加法运算、减法运算以及在退币时的除法运算,这是它的内部功能,还要有货币识别系统和货币的传动来实现完整的售货、退币功能。

自动售货机的工作流程图如图1.2所示。

图1.2 自动售货机工作流程图2自动售货机总体方案设计2.1 自动售货机系统需求根据任务书要求,绘出自动售货机结构示意图如图2.1所示。

图2.1 自动售货机结构示意图其中,1——西瓜汁(1元);2——橙汁(1元);3——葡萄汁(1元);4——绿茶(2元);5——红茶(2元);6——奶茶(2元);7——咖啡(3元);8——可乐(3元);9——牛奶(3元)。

自动售货机的控制设计

自动售货机的控制设计
1.引言 2.设计要求 3.自动售货机的PLC设计 4.调试效果图
1 0 0
第 一
1. 引言
自动售货机是完成无人自动售货,集光、机、电一体化的商业自 动化设备。自动售货机不受任何场地限制,方便快捷,可以每天24h 售货,近年来,我国的自动售货机行业突飞猛进,在汽车总站、商厦、 医院、小区、学校等地区纷纷涌现出自动售货机的踪迹。传统的自动 售货机控制采用单片机作为控制核心,这样不仅会在输入输出接口上 做大量的工作,而且系统的抗干扰性也比较差,可编程控制器(PLC) 是综合了计算机技术、自动控制技术和通讯技术而发展起来的一种新 型的工业控制装置,它具有可靠性高、编程简单、维护方便等优点, 已在工业控制领域得到广泛地应用,因为自动售货机作为社会上完成 商品零售和综合服务的独立设施,要求它的结构必需可靠、稳定和执 行效率高。
0.05
0.5元投币钮 11.04
输出 L1灯
功能
L2灯
取物口
A0
C0 A。顾客投币,显示投入总值,即余额数值。 (2)选择步。按下想要购买的选择按钮。 (3)取物口灯亮。则有饮料输出。 (4)显示余额。当按下退币按钮后,显示出余额。
到此为止,自动售货机的一个完整工作过程结束。
74LS48是七段数码管的驱动器。其各引脚功能如下: LT:做灯泡测试用,当LT=0,则不论其它输入状态为何,其输出 abcdefg=1111111,使七段显示器全亮,即显示8,以便观测七段显示器是否正常。 当LT=1,则正常解码。 RBI:脉冲消隐输入,当RBI=0 (LT 为1 时) 则不论DCBA 之输入为何, 其输出abcdefg皆为0,即七段显示器完全不亮,此脚可供使用者控制仅对有效数 据译码,避免在无意义的数据输入时显示出来造成字型的系乱。 BI/RBO:消隐输入/脉冲消隐输出,当BI/RBO为高电平时,无论其他输入 端输入为何,其输出abcdefg皆为0,即七段显示器完全不亮。

基于VHDL语言自动报货机

基于VHDL语言自动报货机

自动售报机设计一设计题目:自动售报机设计FPGA模块模拟自动售报机的工作过程,要求如下(1)售报机有两个进币孔,可以输入硬币和纸币,售报机有两个进币孔,一个是输入硬币,一个是输入纸币,硬币的识别范围是5角和1 元的硬币,纸币的识别范围是1 元、5 元,10 元,20元,50元,100元。

顾客可以连续多次投入钱币。

(2)顾客可以选择的报纸种类有3种,价格分别为1-3元,顾客可以通过输入报纸的编号来实现报纸的选择。

即有一个小键盘(0-9按键)来完成,比如输入3时要先输入0,再输入3。

(3)顾客选择完报纸后,可以选择需要的数量。

然后可以继续选择报纸及其数量,每次可以选择最多三个报纸。

然后显示出所需金额。

顾客此时可以投币,并且显示已经投币的总币值。

当投币值达到或超过所需币值后,售报机出报,并扣除所需金额,并找出多余金额。

在投币期间,顾客可以按取消键取消本次操作,钱币自动退出。

二、程序实现功能:FPGA模块模拟自动售货机:(1)售报机有两个进币孔,分别输入硬币和纸币,硬币的识别范围是5角和1 元的硬币,纸币的识别范围是1 元、5 元,10 元,20元,50元,100元。

顾客可以连续多次投入钱币。

(2)顾客可以选择的报纸种类有3种,价格分别为1-3元,顾客可以通过输入报纸的编号来实现报纸的选择。

(3)顾客选择完报纸后,可以选择需要的数量。

然后可以继续选择报纸及其数量,每种商品最多购买三份。

然后显示出所需金额。

顾客此时可以投币,并且显示已经投币的总币值。

当投币值达到或超过所需币值后,售货机出货,并扣除所需金额,并找出多余金额。

在投币期间,顾客可以按取消键取消本次操作,钱币自动退出。

三、程序功能模块分析:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;实体说明:entity sellor isport ( clk:in std_logic; --系统时钟sel,cancel,reset: in std_logic; --选择、取消、开始(用于进入初始状态)变量定义:coin: in std_logic_vector(1 downto 0); --5角硬币、1元硬币crash:in std_logic_vector(5 downto 0); --100元、50元、20元、10元、5元、一元纸币item: in std_logic_vector(3 downto 0); --3种报纸quantity:in std_logic_vector(1 downto 0); --报纸数量(一次最多购买3件)change_out :out std_logic_vector(10 downto 0); --找零item_out :out std_logic_vector(3 downto 0); --是否购买了报纸(1表示有报纸出来、0表示没有报纸出来)change :out std_logic ); --是否有找零end sellor;结构体说明:architecture behave of sellor issignal price:std_logic_vector(7 downto 0);signal counter: std_logic_vector(10 downto 0); --币数计数器signal total_price:std_logic_vector(10 downto 0); --控制系统的时钟信号type state_type is (initial_state,item1_state,quantity_state,money_state,sell_state,change_state);signal state:state_type;signal temp:std_logic_vector(1 downto 0);signal quan:std_logic_vector(3 downto 0);begincom:process(reset,sel,clk)beginif (clk'event and clk='1')thenif reset='1' then state<=initial_state;temp<="00";quan<="0000";end if;case state iswhen initial_state=>item_out<="0000"; --出票口关闭change_out<="00000000000"; --找零口关闭total_price<="00000000000"; --票价总额记录清零counter<="00000000000";--投入钱币总额记录清零change<='0';state<=item1_state; 设定initial_state选择物品程序:when item1_state=>if temp<"11" thenelse state<=money_state;end if;when quantity_state=>if(cancel='1')then --按下“取消”按钮state<=initial_state;else 取消操作程序if quantity="00" thenitem_out<="0000";change<='0';change_out<="00000000000";state<=initial_state;else 当选择0个报纸total_price<=total_price+price*quantity;temp<=temp+1;quan<=quan+quantity;if (sel='1') then state<=item1_state;elsestate<=money_state;end if;end if;end if;货币识别:when money_state=>if(cancel='1')then --按下“取消”按钮state<=initial_state;end if;case crash is --纸币识别end case;case coin is --硬币识别end case;if counter>=total_price thenstate<=sell_state;--系统进入出票状态end if;购物操作程序:when sell_state =>if(cancel='1')thenitem_out<="0000";change<='1';change_out<=counter; --按下“取消”按钮state<=initial_state;elseif counter>=total_price thenitem_out<=quan; --判断是否还有找零state<=change_state; --系统进入找零状态elseitem_out<="0000";state<=initial_state;end if;end if;when change_state=>if(cancel='1')thenitem_out<="0000";change<='1';change_out<=counter; --按下“取消”按钮state<=initial_state;elseif counter>total_price thenchange<='1';change_out<=counter-total_price;item_out<=quan;elsechange<='0';change_out<="00000000000";state<=initial_state;end if;end if;end case;end if;end process;end behave;四、仿真波形自动售报机设计学校:铜仁学院系别:物电系班级:09级应用物理姓名:李志军学号:2009053011指导老师:冉耀宗2012年6月。

自动售货机的毕业设计

自动售货机的毕业设计【篇一:自动售货机毕业设计】摘要本文介绍了自动售货机的基本原理以及工作流程,售货机的售货领域非常广泛,是发达国家商品零售的一种主要方式。

近几年,自动售货机逐渐被越来越多的消费者所接受。

但由于售货机分布零散、范围广阔的特点。

本文以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。

具体说明了可编程序控制器在自动售货机中的作用。

程序涉及到了自动售货机工作的绝大部分过程,绘制梯形图对售货过程进行了分析。

利用plc控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。

关键词:自动售货机;可编程控制器;梯形图abstractthis article introduced vending machines basic principle as well as the work flow, introduces the basic principle of vending machines and work flow, and then to a transaction process, for example, the process is divided into several blocks, then respectively for program for programming block.then take a transaction process as examples, divides into several blocks the transaction process, then carries on the programming separately to the block. explained the programmable controllers in vending machine function specifically. the procedure involved the vending machine work major part process. enhanced systems stability using the plc controls vending machine, the guarantee vending machine has been able the long-term stability movement.key words:vending machine; programmable controller; trapezoidal chart目录摘要........................................................................................................ . (i)abstract ............................................................................................. (ii)第1章绪论........................................................................................................ . (1)1.1自动售货机研究的目的和意义 (1)1.2自动售货机的历史 (2)1.3自动售货机的市场展望 (3)1.4自动售货机功能分析 (4)第2章 plc功能介绍 (6)2.1 plc的选型原则 (6)2.2 plc的概述 ....................................................................................................... .72.2.1 plc的产生 (7)2.2.2 plc的定义 (8)2.2.3 plc的发展趋势 (8)2.2.4 plc的特点 (10)2.2.5 plc的主要功能 (11)2.3 plc的基本结构及原理 (13)2.3.1 plc的系统结构 (13)2.3.2 plc各部分的作用 (13)2.4 三菱可编程控制器产品功能介绍 (16)2.4.1 功能指令类型 (16)2.4.2功能指令的通用表达形式 (16)2.4.3 三菱plc内部继电器的功能及编号 (17)2.4.4 程序流程控制功能指令 (18)第3章 plc的系统设计 (25)3.1 可编程控制系统设计的基本原则 (25)3.1.1 控制系统设计原则 (25)3.1.2 控制系统设计的基本内容 (25)3.1.3 控制系统设计的一般步骤 (26)3.1.4 编写梯形图的注意事项 (26)3.1.5 程序设计的步骤 (26)3.2 程序设计部分 (27)3.2.1设计控制要求 (27)3.2.3自动售货机外部接线图 (28)3.2.4 梯形图和顺序功能图介绍 (29)3.2.5 三菱编程软件fxgpwin介绍 (30)3.2.6 售货过程分析 (32)第4章出货部分 (35)4.1 出货原理........................................................................................................ ..354.2 出货部件简图介绍 (35)结束语........................................................................................................ .. (41)致谢........................................................................................................ (42)参考文献........................................................................................................ . (43)第1章绪论自动售货机是一种全新的商业零售形式,20世纪70年代自日本和欧美发展起来。

自动售货机控制系统设计

自动售货机控制系统设计概述本文档旨在介绍自动售货机控制系统的设计。

该控制系统负责管理和监控自动售货机的运行,确保正常的售货和货物补充。

在设计过程中,我们将主要关注系统的功能和架构。

功能自动售货机控制系统的主要功能如下:1. 货物库存管理:系统可以监测和追踪自动售货机中各种货物的库存情况。

当货物库存低于预设阈值时,系统将发出警报并触发货物补充流程。

2. 售货管理:系统负责记录每个售货交易的细节,包括购买的货物信息、购买时间和交易金额。

这些数据将用于后续分析和报告。

3. 支付管理:系统可以处理各种支付方式,如硬币、纸币和电子支付。

它能够验证支付的有效性并完成交易过程。

同时,系统还能提供找零功能。

4. 用户接口:系统配备了一个用户友好的界面,方便用户选择和购买商品。

界面应该简洁、直观,并提供货物搜索功能。

5. 运维管理:系统具备远程监控和故障诊断功能,可以实时监测自动售货机的运行状态。

它能够检测故障并自动通知相关人员进行修复。

架构自动售货机控制系统的架构应该具备以下组件:1. 控制单元:负责与自动售货机硬件设备进行通信和控制。

它接收传感器和执行器的数据,并根据系统逻辑做出相应的决策。

2. 数据存储:用于存储货物库存、交易记录、故障日志等数据。

可以选择数据库服务器或云存储平台作为数据存储的解决方案。

3. 用户界面:提供给用户使用的操作界面,可以是物理按钮、触摸屏或手机应用程序。

用户界面与控制单元进行通信以完成交互操作。

4. 通信模块:用于与远程服务器或监控中心进行通信。

可以通过网络连接或无线通信实现,以便实时监测运行状态和发送警报信息。

安全考虑在设计自动售货机控制系统时,需要考虑以下安全问题:1. 数据安全:保护存储在系统中的敏感数据,如交易记录和用户信息,防止被未经授权的人员访问或篡改。

2. 身份验证:确保只有授权人员才能访问系统的管理功能,如货物补充和故障修复。

3. 安全通信:使用安全的通信协议来保护系统与远程服务器之间的数据传输,防止被恶意攻击者窃取信息。

自动售货机设计方案

自动售货机设计方案1.自动售货机的工作流程分析以一次交易商品的过程为例,把交易过程分为几个模块,然后分别对各个模块进行编程控制,利用PLC及组态软件模拟实现自动售货机的功能。

一次交易过程分为四个状态,初始状态、投币状态、购买状态、退币状态。

工作流程如下图所示:2.交易过程的分析①初始状态:由电子标签显示各商品价格,显示屏显示友好界面,此时不能购买任何商品。

②投币状态:按下投币按钮,显示投币框,按下所投币值显示屏显示投入、消费、余额数值,当所投币值超过商品价格时,相应价格选择按钮发生变化,提示可以购买。

③购买状态:按下可以购买的选择按钮,所选的商品的指示灯亮,同时显示屏上的金额数字根据消费情况相应变化。

④退币状态:按下退币按钮,显示退币框,同时显示出应退币值及数量。

按下确认钮,则恢复初始状态。

3.自动售货机设计方案设计一台具有完整功能的销售汽水和绿茶的自动售货机。

具有硬币识别、币值累加、自动售货、自动找钱功能。

此售货机可识别的硬币包括0.5元和1元。

汽水的售价为2元,绿茶的售价为2.5元。

自动售货机在接受到钱币已输入的前提下,通过触摸相应的触摸按钮输入信号使控制器实现相应的动作,将货物输出。

规定当投入的币值超过2元时,汽水指示灯亮;当投入的币值超过2.5元时,汽水指示灯和绿茶指示灯同时亮。

当汽水指示灯亮时,按汽水按钮,汽水从自动售货机自动售出,汽水指示灯闪烁,10s后自动停止闪烁。

当绿茶指示灯亮时,按绿茶按钮,绿茶从自动售货机自动售出,绿茶指示灯闪烁,10s后自动停止闪烁。

当按下汽水按钮或者绿茶按钮后,如果投入的币值超过购买货物所需币值,则找钱指示灯亮,自动售货机退出多余钱币,10s后自动停止。

如果自动售货机发生故障或者顾客不想购买了(未按汽水按钮或者绿茶按钮),可按复位按钮,则自动售货机如数退出货币。

5.PLC控制系统的设计有三个钱币输入按钮,00001代表0.1元,00002代表0.5元,00003代表1元。

PLC控制自动售货机设计

PLC控制自动售货机设计自动售货机已成为现代生活中不可或缺的一部分。

它们提供了便利和快速的服务,使消费者能够随时购买所需的商品。

PLC(可编程逻辑控制器)技术被广泛应用于自动售货机的设计和控制,以提高其功能和效率。

在设计一个PLC控制的自动售货机时,我们需要考虑以下几个方面。

1.自动售货机结构设计在自动售货机的结构设计中,需要考虑到货道的设置和物品的存放方式。

货道是指放置商品的空间,可以根据商品的大小和数量进行调整。

同时,我们还需要确保货物在售货机内的安全和稳定,以防止商品损坏或丢失。

2.PLC选型和配置PLC是控制自动售货机的核心设备,必须选取合适的型号和配置。

选择PLC时,需考虑其处理能力、输入输出点数、通讯能力以及可靠性等因素。

对于一个较大规模的自动售货机,可能需要较高性能的PLC来确保系统的正常运行。

3.传感器和执行器选择传感器用于检测货道的货物数量和位置,根据需求可以选择光电传感器、超声波传感器等。

执行器则用于控制货道的开关和电机等,常见的有电磁阀、电动机等。

这些传感器和执行器需要与PLC进行连接和配置。

4.控制逻辑设计PLC通过编程来实现自动售货机的控制逻辑。

在控制逻辑设计中,我们需要考虑到货物的选购、找零和固定金额购买等功能。

例如,当顾客选购商品时,PLC会读取传感器的信号,并通过执行器控制货道的开关,确保正确的商品被送至出货口。

同时,PLC还需要记录货物的库存情况,以便及时进行补货。

5.通讯和监控系统PLC可以通过网络连接实现与其他系统的通讯和监控。

通过与后台管理系统的通讯,可以实现远程监控和管理,可以及时获取销售数据和库存情况,以便进行及时的调整和维护。

总之,PLC控制自动售货机的设计是一个涉及多个方面的综合性工作。

在设计过程中,我们需要仔细考虑自动售货机的结构设计、PLC的选型和配置、传感器和执行器的选择、控制逻辑的设计以及通讯和监控系统的实现。

只有在这些方面都做到合理、稳定和高效,才能确保自动售货机的正常运行和用户体验的优化。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《自动售报机》设计
一、实验目的
1.通过实验掌握状态机的特点及其设计;
2.通过编写程序掌握ModelSim仿真软件的使用方法和操作流程,并更加熟练的使用
Verilog语言。

二、实验要求
1.实验重述
自动售报机设计
1)报纸价格为15分
2)投币器只能接受5分和1角的硬币
3)必须提供适当数目的零钱,投币器不找钱
4)合法的硬币组合包括
●1个5分的硬币和1个1角的硬币
●3个5分的硬币
●1个1角的硬币和1个5分的硬币
●2个1角的硬币是合法的,但投币器不找钱
2.设计电路
1)当投入硬币时,一个两位的信号coin[1:0]被传送到数字电路。

保持一个时钟周
期。

2)输出:1位。

每次当投入的硬币总数为15分或者超过15分时,输出信号newspaper 变为高电平,并且保持一个时钟周期。

此时,售报机的门打开。

3)一个同步复位信号
4)状态:S0=0分;S5=5分;S10=10分; S15 = 15分
3.状态图及状态定义
本实验共有四个状态,分别为S0、S5、S10、S15。

各个状态对映的信号为:
S0:newspaper=0 S5:newspaper=0
S10:newspaper=0 S15:newspaper=1
三、源程序及测试程序
本实验采用三段式状态机描述方法,根据下一状态的判断,用同步时序逻辑寄存有限状态机的输出。

1.源程序
module sell (coin,clock,reset,newspaper);
input [1:0] coin;
input clock,reset;
output newspaper;
parameter no_coin=2'b00; //表示没有输入
parameter nickel=2'b01; //表示5分
parameter dime=2'b10; //表示10分
parameter S0 = 2'b00, //输入为0分状态
S5 = 2'b01, //输入为5分的状态
S10 = 2'b10, //输入为10分的状态
S15 = 2'b11; //输入大于等于15分的状态
reg [1:0] state;
reg [1:0] next_state;
reg newspaper;
always @(posedge clock)
if (reset)
state<=S0;
else
state<=next_state;
always @(state)
begin
case(state) //各状态及对应的信号 S0: newspaper=1'b0;
S5: newspaper=1'b0;
S10: newspaper=1'b0;
S15: newspaper=1'b1;
endcase
end
always @(coin or state)
begin
case(state) //状态转移及条件
S0:
begin
if(coin==nickel)
next_state<=S5;
else if(coin==dime)
next_state<=S10;
else
next_state<=S0;
end
S5:
begin
if(coin==nickel)
next_state<=S10;
else if(coin==dime)
next_state<=S15;
else
next_state<=S5;
end
S10:
begin
if(coin==nickel)
next_state<=S15;
else if(coin==dime)
next_state<=S15;
else
next_state<=S10;
end
S15:
begin
newspaper=1'b1;
next_state<=S0;
end
default:next_state<=S0;
endcase
end
endmodule
2.测试程序
`timescale 1ns/1ns
module sell2;
reg clock,reset;
reg [1:0] coin;
wire newspaper;
parameter DELY=100;
sell FSM(coin,clock,reset,newspaper);
always #50 clock = ~clock;
initial
begin
clock=0;
reset=1;
coin=2'b00;
end
initial
begin
#DELY reset=0;
#DELY coin=2'b01;
#DELY coin=2'b10;
#DELY coin=2'b01;
#DELY coin=2'b10;
#DELY coin=2'b01;
#DELY coin=2'b10;
#DELY coin=2'b01;
#DELY coin=2'b01;
#DELY coin=2'b01;
#DELY reset=1;
#(DELY*300) $finish;
end
initial $monitor($time,,,"coin=%d clock=%d reset=%d
newspaper=%d",coin,clock,reset,newspaper);
endmodule
四、仿真波形
五、实验总结和体会
通过本次试验,使得我对于状态机的原理和设计有了较为清晰的了解,也对Verilog语言运用、Modelsim仿真软件的操作有了更深的掌握。

状态机不仅仅是一种电路描述工具,更是一种思想方法,它适合描述那些发生有先后顺序,或者有逻辑规律的事情。

状态机的运用使得很多复杂繁琐的问题有了清晰规范的解决思路,也使得问题更加易于理解。

通过学习本门课程,也对很多相关课程,如计算机组成原理,的学习起到很大的帮助,而通过完成本门课程的几次实验,初步掌握了Verilog语言的应用以及Modelsim仿真软件的操作流程,这对于以后的学习和工作肯定会有很大的好处。

而状态机的思想可以直接应用于计算机组成原理实验课程的CPU设计实验。

每一次的实验对自己来说都是一种提高和锻炼,都能看到自己的成长与进步。

虽然这门课程已经结束,但学无止境,我们要学以致用,真正的把学到的东西运用到以后的学习工作当中,从这个意义上来说我们对于本门课程的学习才刚刚开始。

我相信只要踏踏实实的学习,付出终会有回报!。

相关文档
最新文档