数字逻辑(第六版 白中英)课后习题

数字逻辑(第六版 白中英)课后习题
数字逻辑(第六版 白中英)课后习题

第四章习题答案1.设计4个寄存器堆。

解:

寄存器组

2. 设计具有4个寄存器的队列。

解:

输入数据输出数据

3.设计具有4个寄存器的堆栈

解:可用具有左移、右移的移位寄存器构成堆栈。

栈顶

SR 1

SR 2

SR 3

输入数据

输出数据

压入弹出

4.SRAM 、DRAM 的区别

解:DRAM 表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM 拥有更高的密度,常常用于PC 中的主存储器。

SRAM 是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM 要快。SRAM 常常用于高速缓冲存储器,因为它有更高的速率;

5. 为什么DRAM 采用行选通和列选通

解:DRAM 存储器读/写周期时,在行选通信号RAS 有效下输入行地址,在列选通信号CAS 有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM 需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS 有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS 有效选中某一行时,该行的所有存储体单元进行刷新。

6. 用ROM 实现二进制码到余3码转换 解: 真值表如下:

8421码 余三码

B 3B 2 B 1

G 3G 2G

B 0

1

G 0 0 0 0 0

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

1 0 0 0

1 0 0 1

0 0 1

1

0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

1 0 0 0

1 0 0 1

1 0 1 0

1 0 1 1

1 1 0 0

最小项表达式为:

G 3=∑)9,8,7,6,5( G 2=∑)9,4,3,2,1( G 1=∑)8,7,4,3,0( G 0=∑)8,6,4,2,0( 阵列图为:

G 3G 2G 1G

B 3B 2B 1B B 0

7. 用ROM 实现8位二进制码到8421码转换

解:输入为8位二进制数,输出为3位BCD码,12位二进制数,所以,所需ROM的容量为:28*12=3072

8.ROM、EPROM和EEPROM的区别

解:ROM 指的是“只读存储器”,即Read-Only Memory。这是一种线路最简单半导体电路,通过掩模工艺,一次性制造,其中的代码与数据将永久保存(除非坏掉),不能进行修改。

EPROM 指的是“可擦写可编程只读存储器”,即Erasable Programmable Read-Only Memory。是采用浮栅技术生产的可编程存储器,它的存储单元多采用N沟道叠栅MOS管,信息的存储是通过MOS管浮栅上的电荷分布来决定的,编程过程就是一个电荷注入过程。编程结束后,由于绝缘层的包围,注入到浮栅上的电荷无法泄漏,因此电荷分布维持不变,EPROM也就成为非易失性存储器件了。当外部能源(如紫外线光源)加到EPROM上时,EPROM内部的电荷分布才会被破坏,此时聚集在MOS管浮栅上的电荷在紫外线照射下形成光电流被泄漏掉,使电路恢复到初始状态,从而擦除了所有写入的信息。这样EPROM又可以写入新的信息。

EEPROM 指的是“电可擦除可编程只读存储器”,即Electrically Erasable Programmable Read-Only Memory。也是采用浮栅技术生产的可编程ROM,但是构成其存储单元的是隧道MOS管,隧道MOS管也是利用浮栅是否存有电荷来存储二值数据的,不同的是隧道MOS管是用电擦除的,并且擦除的速度要快的多(一般为毫秒数量级)。它的最大优点是可直接用电信号擦除,也可用电信号写入。E2PROM的电擦除过程就是改写过程,它具有ROM的非易失性,又具备类似RAM的功能,可以随时改写(可重复擦写1万次以上)。目前,大多数E2PROM芯片内部都备有升压电路。因此,只需提供单电源供电,便可进行读、擦除/写操作,这为数字系统的设计和在线调试提供了极大方便。

9. flash存储器的特点

解: Flash也是一种非易失性的内存,属于EEPROM的改进产品。FLASH是结合EPROM和EEPROM技术达到的,FLASH使用雪崩热电子注入方式来编程。主要特点是,FLASH对芯片提供大块或整块的擦除,而EEPROM则可以一次只擦除一个字节(Byte)。这就降低了设计的复杂性,它可以不要EEPROM单元里多余的晶体管,所以可以做到高集成度,大容量,另FLASH的浮栅工艺上也不同,写入速度更快。

10. 用256K×8芯片实现256K×32的ROM

解:需要4片256K×8的存储器,进行位扩展。

A0

D0

︰地

线

线

11. 用1M×4芯片实现1M×16的SRAM

解:需要4

片1M×4的存储器,进行位扩展。

12 用256K×4芯片实现1M×8的DRAM

解:需8片1M×4的存储器,进行字位同时扩展。

13.用1M×8芯片实现4M×8的DRAM 解:需4片1M×8的存储器,进行字扩展。

14.用64K×4芯片实现64K×16的ROM 解:需4片64K×4的存储器,进行位扩展。

A0

︰︰A15

D0︰

︰︰D15

地址

线数据线

A0 CE 4

I/O

A0 CE 164K ×4

A7 I/O

︰︰︰

︰︰︰

15.用1M ×8芯片实现4M ×16的ROM

解:需8片1M ×8的存储器,进行字位同时扩展。

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案 5-1、解:(1) 列出电路的激励函数和输出函数表达式: 1111J K CP CP ==??=? 22321,1J Q K CP Q ?==??=?? 323331 ,1 J Q Q K CP Q ?==?? =?? Q 1n+1); Q 2n+1); Q 3 n+1) (2) (4) 功能描述:由状态图可知,此电路为一带自启动能力的六进制计数器。 1 2 3 4 5 6 7 8 CP Q 1 Q 2 Q 3 时间图

5-2、解:表5.29所示为最小化状态表,根据状态分配原则,无“列”相邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻中,应给AD、AC分配相邻代码。取A为逻辑0,如下卡诺图所示,状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表 如下,根据D触发器的激励表可画出CP2、D2、CP1、D1、Z的卡诺图, 二进制状态表 状态编码 D触发器的激励表

5-3、解: 原始状态图 5-4、解:(1)写出电路的激励函数和输出函数表达式: Y 2=x 2+x 12x 1(2)作状态流程表: (3)作时间图:

设输入状态x2x1的变化序列为00 01 11 10 00 10 11 01.初始总态为(x2x1,y2y1)=(00,00). 从本题的状态流程表推演出总响应序列为 总态响应序列表 x2 x1 y2 y1 Z 时间图 (4)电路功能:当输入状态x2x1的变化序列为01 11 10 00时,电路输出高电平1,其余情况输出低电平0.因此,该电平异步时序电路为01 11 10 00序列检测器。 5-5、解: 时间图如下

数字逻辑(第六版 白中英)课后习题答案

第七章 A/D 转换与D/A 转换 1 ADC :模/数转换器analogue digital converter ; DAC :数/模转换器 digital analogue converter ; DSP :数字信号处理器 digital signal processor 。 2. 3. 4

答:二进制编码0011来自ADC 输出,它通过丢失台阶来指示。可能情况下,转换器的输出“3”被粘住在不活动的状态(低电平)。 5. 由电路图知,R 0是输入二进制吗最低位对应的权电阻,所以有 V V K K V K R R K R D V R R V K R R K R R K R R o f i i i REF f o 0625.4)212121(2 5105代入得: ,10,5其中,22,108/,204/,402/023333 03030201-=?+?+??ΩΩ-=Ω==Ω=??-=Ω==Ω==Ω==∑= 6. (1)8为D/A 转换器的最小输出电压增量,即是数字量00000001对应的模拟电压量,或数字量每增加一个单位,输出模拟电压的增加量。输入代码01001101对应的模拟电压为: Vo =0.02(26+23+22+20)=1.54 V (2)8位转换器的分辨率百分数为: %3922.0%100121 8 =?- (3)若要求D/A 转换器的精度小于0.25%,则其分辨率应小于0.5%,因此,这一8位D/A 转换器可满足系统的精度要求。 7. (1)仅最高位接通时,R 10提供的电流为 mA V I 11010103 10=Ω?= 由于最高位电阻的容差所造成的电流误差为: A mA μ5.0%)05.0(1±=±? (2)首先求最低位电阻的阻值 Ω=-?=M R R 12.51210101 仅最低位接通时,R1提供的电流为 A V I μ953.11012.5106 1=Ω?= 最低位造成的电流误差为: A A μμ009765.0%)5(953.1±=±? 对于权电阻网络的D/A 转换器,数字量的位数越多,高低位权电阻的阻值相差越大;相同容 差下,由于各电阻所在位的权值不同,所引入的误差相差也越大。

《数字逻辑》(白中英)(第六版)习题解答

《数字逻辑》(白中英)(第六版) 习题解答 第1章开关理论基础 1、将下列十进制数化为二进制数和八进制数: 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1001111011 1173 7.493 111.011111100 7.374 79.43 1001111.0110110 117.33 2、将下列二进制数转换成十进制数和八进制数: 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 15 3、将下列十进制数转换成8421BCD码: 1997=0001 1001 1001 0111 65.312=0110 0101.0011 0001 0010 3.1416=0011.0001 0100 0001 0110 0.9475=0.1001 0100 0111 0101 4、一个电路有三个输入端A、B、C,当其中有两个输入端为高电平时,输出X

为高电平,试列出真值表,并写出X 的逻辑表达式。 [解]: 先列出真值表,然后写出X 的逻辑表达式 C AB C B A BC A X ++= 5、求下列函数的值: 当A,B,C 为0,1,0时: BC B A +=1 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,1,0时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,0,1时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=0 6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明: 所以由真值表得证。

数字逻辑课后题讲解

第二章 组合逻辑 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码? 解: 这是一个余三码 至8421 BCD 码转换的电路 已知输入信号A,B,C,D 的波形如下图所示,选择适当的集成逻辑门电路,设计产生输出 F 波形的组合电路(输入无反变量) 解: 列出真值表如下: W= AB+ACD X = BC+BD+BCD Y = CD+CD Z = D )(D C A C B A D C B D B B A F 或+++=

9. 用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示有两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,并选出合适的集成电路来实现。 解: 设:三台设备分别为 A 、B 、C : “1”表示有故障,“0”表示无故障;红、黄、绿灯分别为Y1、Y2、Y3:“1”表示灯亮;“0”表示灯灭。据题意列出真值表如下: 于是得: 13.用一片4:16线译码器将8421BCD 码转换成余三码,写出表达式 解: C B A C B A Y C B A BC Y C B A Y ++==⊕+=⊕⊕=3) (21 )8,6,4,2,0(),,,()8,7,4,3,0(),,,()9,4,3,2,1(),,,()9,8,7,6,5(),,,(∑=∑=∑=∑=D C B A Z D C B A Y D C B A X D C B A W

设计二进制码/格雷码转换器 解:真值表 B 12A 2B 4:16线译码器 Y 6 Y 8 Y 2Y 4Y 3 Y 7Y 2Y 6

《数字逻辑》(白中英)(第六版)习题解答教学提纲

《数字逻辑》(白中英)(第六版)习题解 答

《数字逻辑》(白中英)(第六版) 习题解答 第1章开关理论基础 1、将下列十进制数化为二进制数和八进制数: 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1001111011 1173 7.493 111.011111100 7.374 79.43 1001111.0110110 117.33 2、将下列二进制数转换成十进制数和八进制数: 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 15 3、将下列十进制数转换成8421BCD码: 1997=0001 1001 1001 0111 65.312=0110 0101.0011 0001 0010 3.1416=0011.0001 0100 0001 0110

0.9475=0.1001 0100 0111 0101 4、一个电路有三个输入端A 、B 、C ,当其中有两个输入端为高电平时,输出X 为高电平,试列出真值表,并写出X 的逻辑表达式。 [解]: 先列出真值表,然后写出X 的逻辑表达式 C AB C B A BC A X ++= 5、求下列函数的值: 当A,B,C 为0,1,0时: BC B A +=1 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,1,0时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,0,1时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=0 6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明:

数字逻辑(第六版 白中英)课后习题

第四章习题答案1.设计4个寄存器堆。 解: 寄存器组 2. 设计具有4个寄存器的队列。 解: 输入数据输出数据 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。

栈顶 SR 1 SR 2 SR 3 输入数据 输出数据 压入弹出 4.SRAM 、DRAM 的区别 解:DRAM 表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM 拥有更高的密度,常常用于PC 中的主存储器。 SRAM 是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM 要快。SRAM 常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM 采用行选通和列选通 解:DRAM 存储器读/写周期时,在行选通信号RAS 有效下输入行地址,在列选通信号CAS 有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM 需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS 有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS 有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM 实现二进制码到余3码转换 解: 真值表如下: 8421码 余三码 B 3B 2 B 1 G 3G 2G

数字逻辑习题参考解答

第6章习题参考解答 6-3 画出74x27三输入或非门的德摩根等效符号。 解:图形如下 6-10 在图X6.9电路中采用74AHCT00替换74LS00,利用表6-2的信息,确定从输入端到输出端的最大时间延迟。 解:该图中从输入到输出需要经过6个NAND2; 每个NAND2(74AHCT00)的最大时间延迟为9 ns; 所以从输入端到输出端的最大时间延迟为:54 ns。 6-31 BUT门的可能定义是:“如果A1和B1为1,但A2或B2为0,则Y1为1;Y2的定义是对称的。”写出真值表并找出BUT门输出的最小“积之和”表达式。画出用反相门电路实现该表达式的逻辑图,假设只有未取反的输入可用。你可以从74x00、04、10、20、30组件中选用门电路。 解:真值表如下 A1 B1 A2 B2 Y1 Y2 A1 B1 A2 B2 Y1 Y2 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 1 0 0 0 1 1 1 0 1 0

0 1 1 1 0 1 1 1 1 1 0 0 利用卡诺图进行化简,可以得到最小积之和表达式为 Y1=A1·B1·A2’+A1·B1·B2’ Y2=A1’·A2·B2+B1’·A2·B2Y 2 采用74x04得到各反相器 采用74x10得到3输入与非 采用74x00得到2输入与非 实现的逻辑图如下: 6-32 做出练习题6-31定义的BUT 门的CMOS 门级设计,可以采用各种反相门逻辑的组合(不一定是二级“积之和”),要求使用的晶体管数目最少,写出输出表达式并画出逻辑图。 解:CMOS 反相门的晶体管用量为基本单元输入端数量的2倍; 对6-31的函数式进行变换: ()()()()'2211'2'211'211'2111B A B A B A B A B B A A B A Y ???=+??=??+??= ()()()()'1122'1'122'122'1222B A B A B A B A B B A A B A Y ???=+??=??+??= 利用圈-圈逻辑设计,可以得到下列结构: ()()()'''22'111B A B A Y ?+?= ()()()'''11'222B A B A Y ?+?=

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

白中英版 数字逻辑 第二章答案

第二章 组合逻辑 1. 分析图中所示的逻辑电路,写出表达式并进行化简 2. 分析下图所示逻辑电路,其中S3、S2、S1、S0为控制输入端,列出真值表,说明 F 与 A 、B 的关系。 F1= F2= F=F 1F 2= B F = AB + B = AB F = AB BABC CABC = AB + AC + BC + BC = AB + BC + BC 1 S B BS A ++3 2 S B A ABS +1 S B BS A ++

3. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能。 解: F1== 真值表如下: 当B ≠C 时, F1=A 当B=C=1时, F1=A 当B=C=0时, F1=0 F2= 真值表如下: C B BC A C AB C B A +++ABC C B A C B A ++A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 001101 00AC BC AB C A C B B A ++=++

当A 、B 、C 三个变量中有两个及两个以上同时为“1”时,F2 = 1 。 4.图所示为数据总线上的一种判零电路,写出F 的逻辑表达式,说明该电路的逻辑功能。 解:F= 只有当变量A0~A15全为0时,F = 1;否则,F = 0。 因此,电路的功能是判断变量是否全部为逻辑“0”。 5. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能 解: 真值表如下: 因此,这是一个四选一的选择器。 6. 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码? 解: A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 000011 111514131211109876543210A A A A A A A A A A A A A A A A +++301201101001X A A X A A X A A X A A F +++=

广工EDA数字逻辑课后习题问题详解

习题答案 第1章 一、单选题 (1)B (2)C (3)B (4)C (5)D (6)B (7)C (8)D (9)C (10)C (11)D (12)D (13)A (14)D 二、判断题 (1)√ (2)√ (3)× (4)× (5)× (6)× (7)√ (8)× 三、填空题 (1)10000111.101、207.5、87.A (2)185.75 (3)1001 0100 (4)B A ?、B A +、B A B A +、AB B A + (5)C B A ABC C AB ++ (6)C A AD ? (7)B A B A + (8)2n (9)1 (10)1 四、综合题 (1) ① B A B A AD B B A AD DE B B A AD C A A C DE C B B D C A A C B DE C B B BD C A A Y +=++=++=++++=+++++=+++++=)1()()()()(

② B A B A B A D D B A B A A D B D B A B A B B A D B A D B A B A B A AB Y +=+++=++++=+++++=+++++=)1)(())(())()(())(( ③ D B C B A D C D B C B DE B B A C A D B D C C B DE B C B C A D BC A D B D C C B DE B A C B A AC DE B A D BC A C B A D C D B C B AC Y ++=+++++=+++++++=+++++++=+++++++=)1()1()()()( (2) ① BCD C B D B A B A D C B A Y ++++= 函数卡诺图如下: 化简结果为:BD D A D C Y ++= ② F(A,B,C,D)=Σm(0,2,4,5,6,7,8,10,12,14) 函数卡诺图如下: 化简结果为:D B A D C B A F +=),,,( ③ F(A,B,C,D)=Σm(1,2,6,7,10,11)+Σd(3,4,5,13,15)

数字逻辑 白中英 第六版 答案

第六章习题答案 1现有D触发器组成的三个n位寄存器,需要连接起来传送数据。当控制信号S a有效时,执行(Ra)→Rc的操作;当控制信号S b有效时,执行(R b)→R C的操作。试写出连接电路的逻辑表达式,并画出逻辑电路图。解: Rc = Ra·Sa·LDC + Rb·Sb·LDC 2 现有D触发器组成的四个8位寄存器,要求它们之间实现数据传送,试设计连接电路。 解: BUS 3 ALU的输出端一般带有一个移位器,其功能为:①ALU输出正常传送;②ALU输出左移1位(ALU i+1)传送;③ALU输出右移一位(ALU i-1)传送。试设计移位器的逻辑电路。 解:

4 一个系统有A,B两条总线,为了接收来自任何一条总线上的数据并驱动任何一条总线,需要一个总线缓冲寄存器。请用D触发器和三态门设计一个总线缓冲寄存器。 解: 5 试构造能完成下列程序操作的ASM图: (a)if X = N, then … 。 (b)if X≠N, then …, else …。 解:

(c)for X from A to B, step C, do… 。解:

(d)while X = Y, do …。 解: (e)if X > N OR X < O, then …, else …。解:

6 有一个数字比较系统,它能对两个8位二进制进行比较。其操作过程如下:先将两个8位二进制数存入寄存器A和B, 然后进行比较,最后将大数移入寄存器A中。要求: ⑴画出此系统方框图,并构造ASM流程图。 ⑵设计一个计数器型控制器。 解:(1)

②状态转移真值表

数字逻辑课后习题答案

习题五 5.1 分析图5.35所示的脉冲异步时序电路。 解:各触发器的激励方程和时钟方程为: 1K J 11==;1K ,Q J 232==;1K ,Q Q J 3323== CP CP 1=;132Q CP CP == ∴各触发器的状态方程为: 11n 1Q Q =+ (CP 的下降沿触发); 321n 2 Q Q Q =+ (Q 1的下降沿触发); 3 21 n 3Q Q Q =+ (Q 1的下降沿触发) 该电路是一能自启动的六进制计数器。 5.2 已知某脉冲异步时序电路的状态表如表5.29所示,试用D 触发器 和适当的逻辑门实现该状态表描述的逻辑功能。 解:表5.29所示为最小化状态表。根据状态分配原则,无“列”相邻 (行相邻在脉冲异步时序电路中不适用。),在“输出” 相邻中,应 给AD 、AC 分配相邻代码。取A 为逻辑0,如下卡诺图所示,状态赋 值为:A=00,B=11;C=01;D=10。于是,二进制状态表如下,根据 D 触发器的激励表可画出CP 2、D 2、CP 1、D 1、Z 的卡诺图,得到激励函数和输出函数,以及画出所设计的脉冲异步时序电路。

得激励方程和输出方程: 22x CP =; 32212x x Q x D ++=; 3221x x Q CP +=; 31211x Q x Q D +=; )Q Q (x Q x Q x Z 2 132313+=+=。 5.3 设计一个脉冲异步时序电路,该电路有三个输入端x 1、x 2和x 3,一个输出端Z 。仅当输入序列x 1-x 2-x 3出现时,输出Z 产输出脉冲,并且与输入序列的最后一个脉冲重叠。试作出该电路的原始状态图和状态表。 解:

数字逻辑白中英第六版习题解答完整版

数字逻辑白中英第六版 习题解答 标准化管理处编码[BBX968T-XBB8968-NNJ668-MM9N]

《数字逻辑》(白中英)(第六版) 习题解答 第1章开关理论基础 1、将下列十进制数化为二进制数和八进制数: 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1173 2、将下列二进制数转换成十进制数和八进制数: 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134

101111 47 57 01101 13 15 3、将下列十进制数转换成8421BCD码: 1997=0001 1001 1001 0111 =0110 0001 0010 = 0100 0001 0110 = 0100 0111 0101 4、一个电路有三个输入端A、B、C,当其中有两个输入端为高电平时,输出X为高电平,试列出真值表,并写出X的逻辑表达式。 [解]:先列出真值表,然后写出X的逻辑表达式

5、求下列函数的值: 当A,B,C 为0,1,0时: BC B A +=1 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,1,0时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,0,1时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=0 6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明:

数字逻辑第六版白中英课后习题答案

第四章习题答案 1.设计4个寄存器堆。 解: 2. 设计具有4个寄存器的队列。 解: 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。 4.SRAM、DRAM的区别 解:DRAM表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM拥有更高的密度,常常用于PC中的主存储器。 SRAM是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM要快。SRAM常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM采用行选通和列选通 解:DRAM存储器读/写周期时,在行选通信号RAS有效下输入行地址,在列选通信号CAS有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM实现二进制码到余3码转换 解:真值表如下: 8421码余三码 B B2B1B0G G2G1G0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 10 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 最小项表达式为: G=∑)9,8,7,6,5(G2=∑)9,4,3,2,1(G1=∑)8,7,4,3,0(G0=∑)8,6,4,2,0( 33 3

数字逻辑课本习题答案

习题五 1. 简述时序逻辑电路与组合逻辑电路的主要区别。 解答 组合逻辑电路:若逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组合,而与过去的输入值无关,则称为组合逻辑电路。组合电路具有如下特征: ①由逻辑门电路组成,不包含任何记忆元件; ②信号是单向传输的,不存在任何反馈回路。 时序逻辑电路:若逻辑电路在任何时刻产生的稳定输出信号不仅与电路该时刻的输入信号有关,还与电路过去的输入信号有关,则称为时序逻辑电路。时序逻辑电路具有如下特征: ○1电路由组合电路和存储电路组成,具有对过去输入进行记忆的功能; ○2电路中包含反馈回路,通过反馈使电路功能与“时序”相关; ○3电路的输出由电路当时的输入和状态(过去的输入)共同决定。 2. 作出与表1所示状态表对应的状态图。 表1 状态表 现态y2 y1 次态y2 ( n+1)y1(n+1) /输出Z x2x1=00x2x1=01x2x1=11x2x1=10 A B C D B/0 B/0 C/0 A/0 B/0 C/1 B/0 A/1 A/1 A/0 D/0 C/0 B/0 D/1 A/0 C/0

解答 根据表1所示状态表可作出对应的状态图如图1所示。 图1 3. 已知状态图如图2所示,输入序列为x=,设初始状态为A,求状态和输出响应序列。 图2 解答 状态响应序列:A A B C B B C B 输出响应序列:0 0 0 0 1 0 0 1

4. 分析图3所示逻辑电路。假定电路初始状态为“00”,说明该电路逻辑 功能 。 图 3 解答 ○1 根据电路图可写出输出函数和激励函数表达式为 x K x,J ,x K ,xy J y xy Z 111121 2===== ○2 根据输出函数、激励函数表达式和JK 触发器功能表可作出状态表如表2所示, 状态图如图4所示。 表2 图4 现态 y 2 y 1 次态 y 2( n+1)y 1(n+1)/输出Z x=0 x=1 00 01 10 11 00/0 00/0 00/0 00/0 01/0 11/0 11/0 11/1

《数字逻辑》(白中英)(第六版)习题解答分析

数字逻辑》(白中英)(第六版) 习题解答 第 1 章 开关理论基础 3、将下列十进制数转换成 8421BCD 码: 1997=0001 1001 1001 0111 65.312=0110 0101.0011 0001 0010 3.1416=0011.0001 0100 0001 0110 0.9475=0.1001 0100 0111 0101 十进制 二进制 八进制 49 110001 61 53 110101 65 127 1111111 177 635 1001111011 1173 7.493 111.011111100 7.374 79.43 1001111.0110110 117.33 将下列二进制数转换成十进制数和八进制数: 二进制 十进制 八进制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 15 1、将下列十进制数化为二进制数和八进制数: 2、

4、一个电路有三个输入端A、B、C,当其中有两个输入端为高电平时,输出 X

为咼电平,试列出真值表,并写出 X 的逻辑表达式。 [解]:先列出真值表,然后写出X 的逻辑表达式 ABC X 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 0 X = ABC +ABC +ABC 5、求下列函数的值: 当 A,B,C 为 0,1,0 时: AB + BC =1 (A+B+C)(A + B+C) =1 (AB+AC)B=1 当 A,B,C 为 1,1,0 时: AB + BC =0 (A+B+C)(A + B+C) =1 (AB+AC)B=1 当 A,B,C 为 1,0,1 时: AB + BC=0 (A+B+ C)(A + B+C) =1 (AB+AC)B=0 A ? B ? C = A ? B ? C 成立。 ABC A ? B ? C A ? B ?C 0 0 0 0 0 0 0 1 1 1 0 1 0 1 1 0 1 1 0 0 1 0 0 1 1 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 6用真值表证明恒等式 证明: 所以由真值表得证。

数字逻辑(第六版 白中英)课后习题答案

第五章习题答案 1. 画出与阵列编程点 解: --- 2. 画出或阵列编程点 解: ----X 1X 2X 3X 4 3. 与、或阵列均可编程,画出编程点。 解;

1 A -B B -F 32 4. 4变量LUT 编程 解: A 0A 1A 2A 3 SOP 输出 5. 用VHDL 写出4输入与门 解:源代码: LIBRARY IEEE ; USE IEEE .STD_LOGIC_1164.ALL ; ENTITY and4 IS PORT (a ,b ,c ,d :IN STD_LOGIC ; x :OUT STD_LOGIC ); END and4; ARCHITECTURE and4_arc OF and4 IS BEGIN x <=a AND b AND c AND d ; END and4_arc ; 6. 用VHDL 写出4输入或门

解:源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY or4 IS PORT (a,b,c,d:IN STD_LOGIC; x:OUT STD_LOGIC); END or4; ARCHITECTURE or4_arc OF or4 IS BEGIN x<=a OR b OR c OR d; END or4_arc; 7.用VHDL写出SOP表达式 解:源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY sop IS PORT (a,b,c,d,e,f:IN STD_LOGIC; x:OUT STD_LOGIC); END sop; ARCHITECTURE sop_arc OF sop IS BEGIN x<=(a AND b) OR (c AND d) OR (e AND f); END sop_arc; 8.用VHDL写出布尔表达式 解:源代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY boolean IS PORT (a,b,c:IN STD_LOGIC; f:OUT STD_LOGIC); END boolean; ARCHITECTURE boolean_arc OF boolean IS BEGIN f<=(a OR (NOT b) OR c) AND (a OR b OR (NOT c)) AND ((NOT a)OR (NOT b) OR (NOT c)); END boolean_arc;

数字逻辑(第二版)毛法尧课后题答案(1-6章)

习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10 ⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵(0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶(33.333)10=(21.553F7)16=(100001.010101)2=(41.25237)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除? 解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除. 1.6 写出下列各数的原码、反码和补码: ⑴0.1011 [0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011 ⑵0.0000 [0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000 ⑶-10110 [-10110]原=110110; [-10110]反=101001; [-10110]补=101010 1.7 已知[N]补=1.0110,求[N]原,[N]反和N. 解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010 1.8 用原码、反码和补码完成如下运算: ⑴0000101-0011010 [0000101-0011010]原=10010101; ∴0000101-0011010=-0010101。 [0000101-0011010]反=[0000101]反+[-0011010]反=00000101+11100101=11101010 ∴0000101-0011010=-0010101 [0000101-0011010]补=[0000101]补+[-0011010]补=00000101+11100110=11101011 ∴0000101-0011010=-0010101 ⑵0.010110-0.100110 [0.010110-0.100110]原=1.010000; ∴0.010110-0.100110=-0.010000。 [0.010110-0.100110]反=[0.010110]反+[-0.100110]反=0.010110+1.011001=1.101111

数字逻辑课后题答案

数字逻辑课后题答案一 学校新来了一位老师,五个学生分别听到如下的情况: (1)是一位姓王的中年女老师,教语文课; (2)是一位姓丁的中年男老师,教数学课; (3)是一位姓刘的青年男老师,教外语课; (4)是一位姓李的青年男老师,教数学课; (5)是一位姓王的老年男老师,教外语课。 他们每人听到的四项情况中各有一项正确。问:真实情况如何? 答案: 姓刘的老年女老师,教数学。 提示:假设是男老师,由(2)(3)(5)知,他既不是青年、中年,也不是老年,矛盾,所以是女老师。再由(1)知,她不教语文,不是中年人。假设她教外语,由(3)(5)知她必是中年人,矛盾,所以她教数学。由(2)(4)知她是老年人,由(3)知她姓刘。 数字逻辑课后题答案二 甲、乙、丙三人中有一人是牧师,一人是骗子,一人是赌棍.牧师只说真话,骗子只说假话,赌棍有时说真话有时说假话.甲说:“丙是牧师.”乙说:“甲是赌棍.”丙说:“乙是骗子.”那么请问甲、乙、丙三人各是什么职业? 答案: 甲是赌棍,乙是牧师,丙是骗子 牧师说真话,不可能说别人是牧师,因此甲一定不是牧师.若乙是牧师,则甲一定是赌棍,那么丙就是骗子,符合题意.若丙是牧师,则乙就是赌棍,甲是骗子,此时甲不可能说出“丙是牧师”这句真话,因此矛盾.

提示:这是一道逻辑推理的试题,重点中学的考试中很愿意考这样的题型,解答这类问题时首先要从所给的条件中理清各部分之间的`关系,然后进行分析推理,排除一些不可能的情况,逐步归纳,找到正确的答案。 数字逻辑课后题答案三 数学竞赛后,小明、小华、小强各获得一枚奖牌,其中一人得金牌,一人得银牌,一人得铜牌.王老师猜测:"小明得金牌;小华不得金牌;小强不得铜牌."结果王老师只猜对了一个.那么小明得___牌,小华得___牌,小强得___牌。 答案: 逻辑问题通常直接采用正确的推理,逐一分析,讨论所有可能出现的情况,舍弃不合理的情形,最后得到问题的解答.这里以小明所得奖牌进行分析。 解:①若"小明得金牌"时,小华一定"不得金牌",这与"王老师只猜对了一个"相矛盾,不合题意。 ②若小明得银牌时,再以小华得奖情况分别讨论.如果小华得金牌,小强得铜牌,那么王老师没有猜对一个,不合题意;如果小华得铜牌,小强得金牌,那么王老师猜对了两个,也不合题意. ③若小明得铜牌时,仍以小华得奖情况分别讨论.如果小华得金牌,小强得银牌,那么王老师只猜对小强得奖牌的名次,符合题意;如果小华得银牌,小强得金牌,那么王老师猜对了两个,不合题意。 综上所述,小明、小华、小强分别获铜牌、金牌、银牌符合题意。 数字逻辑课后题答案四 "迎春杯"数学竞赛后,甲、乙、丙、丁四名同学猜测他们之中谁能获奖.甲说:"如果我能获奖,那么乙也能获奖."乙说:"如果我能获奖,那么丙也能获奖."丙说:"如果丁没获奖,那么我也不能获奖."实际上,他们之中只有一个人没有获奖.并且甲、乙、丙说的话都是正确的.那么没能获奖的同学是___。

相关文档
最新文档