实验五 移位寄存器

合集下载

数字电路实验报告 实验5

数字电路实验报告 实验5

实验五移存器功能测试及应用一、实验目的1、熟悉移位寄存器(移存器)的电路结构和工作原理。

2、掌握D触发器74HC(LS)74及集成移位寄存器74HC(LS)194的逻辑功能和使用方法。

二、实验设备和器件1、数字逻辑电路实验板1块2、74HC(LS)74(双D触发器)2片3、74HC(LS)194(4位双向通用移位寄存器)2片三、实验原理移位寄存器是具有移位功能的寄存器,其中所存的代码能够在移位脉冲的作用下依次左移或右移。

既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。

移位寄存器存取信息的方式分为:串入串出、串入并出、并入串出、并入并出四种形式。

实验用器件管脚介绍:1、74HC(LS)74(双D触发器)管脚如下图所示。

2、74HC(LS)194(4位双向通用移位寄存器)管脚如下图所示。

四、实验内容与步骤1、利用两块74HC(LS)74(四个D触发器)构成一个单向的移位寄存器(基本命题)参照用两块74HC(LS)74(四个D触发器)构成一个单向移位寄存器的实验电路图连接电路,Q输出依次接LED指示灯,加电后在移位输入端加入不同信号观察LED指示灯变化。

1.1电路图1.2实验结果LED灯依次变亮,每次间隔一个CP。

2、测试74HC(LS)194的功能(基本命题)例如,Q输出依次接LED指示灯,改变S1、S0的值配合其它输入观察LED的变化。

2.1电路图2.2实验结果:置数:LED显示状态与置数端相同。

左移:LED从下往上(QD到QA)依次变亮,每次间隔一个CP右移:LED从上往下(QA到QD)依次变亮,每次间隔一个CP3、用两片74HC(LS)194做出模16的扭环计数器(扩展命题)将两片的Q输出依次都接到LED指示灯上,加电并加CP观察LED的变化。

现象一般为八盏灯先依次变暗再依次变亮如此循环。

3.1电路图3.2计数器拓展当进行M=2n 偶数计数时,可采用扭环型,D1=Q n ̅̅̅̅,将Q n 和高电平与非后反馈至第一片的输入端。

最新实验5移位寄存器

最新实验5移位寄存器
实验5移位寄存器
本科学生实验报告
学号114090316姓名李魁
学院物电学院专业、班级11应电班
实验课程名称电子设计自动化(EDA实验)
教师及职称罗永道副教授
开课学期2013至2014学年下学期
填报时间2014年5月17日
云南师范大学教务处编印
实验序号
5
实验名称
移位寄存器
实验时间
2014年5月16日
实验室
随着CLK脉冲的到来,就完成了将并行预置输入的数据逐位向右串行输出的功能,即将寄存器中的最低位首先输出。本实验利用进程中的非完整条件语句构成了时序电路,同时又利用信号的“并行”特性实现移位。
3.实验设备及材料
电脑一台,QuartusII实验平台
4.实验方法步骤及注意事项
Hale Waihona Puke 实验方法步骤:(1)打开计算机;
reg8(6 downto 0)<=reg8(7 downto 1);
此句表明:
(1)一个时钟周期后将上一时钟周期移位寄存器中的高7位二进制数,即当前值reg8(7 downto 1)赋给此寄存器的低7位reg8(6 downto 0)。于是其串行移空的最高位始终由最初的并行预置数的最高位填补。
(2)将上一周期移位寄存器中的最低位,即当前值reg(0)相QB中输出。
(2)打开Quartur II软件,编写和调试实验代码,然后进行试验仿真。
注意事项:
实验过程中认真分析实验原理编写代码,防止书本、书包等物品与实验设备接触,以免造成不必要的麻烦。
同析楼114
一.实验预习
1.实验目的:
(1)掌握移位寄存器的工作原理;
(2)熟悉VHDL编程语言的使用;
(3)熟悉Quartus II软件的使用。

实验5 双向移位寄存器 (2010)

实验5 双向移位寄存器 (2010)

实现74LS194左移、右移逻辑功能的逻辑电路图:
5.测试74LS194的右循环移位逻辑功能和左循环移位逻辑功 能(即构成环形计数器),用发光二极管显示,并列出状态
转换表。
设计思路提示:移位寄存器的最高输出接至最低位的输入 端;或将最低位的输出接至最高位输入端,即将移位寄存器 的首尾相连就可实现。

实验步骤
1.根据实验指导书中实验内容1、2、3的要求,对双向移位寄 存器74LS194进行功能测试。 2.根据与非门的逻辑功能,检测芯片的好坏。 3.根据实验指导书中实验内容4、5的要求,设计电路,并在 实验装置上安装电路,验证理论设计的正确性。
五 常见问题
1.芯片使用前不进行功能好坏的检测。 2.电源连接不正确,接地点接到-5V上或接到模拟电子实验 箱的电源上,非常危险,上电后芯片烧毁。 3.逻辑开关电平前的发光二极管不亮,检查进入数字实验 箱的电源连接线是否断。 4.实验箱电源连接正确,电路自查确定无误后,电路验证 还是不正确的情况下进行下面的排错检查: (1)检查芯片的电源和地的电平是否正确。 (2)芯片的清零连接电平是否正确。 (3)芯片的控制电平(M1,M0)是否正确。 (4)从逻辑电平开关输入信号是否正确。 (5)时钟信号是否正确输入。 (6)从输出端按逻辑功能状态往前一步一步排查。
三 实验内容与实验原理
1.双向移位寄存器74LS194介绍 双向移位寄存器的逻辑图
双向移位寄存器逻辑功能
双向移位寄存器74LS194的引脚图
数据输 出端
数据输 入端
时钟 信号
控制Hale Waihona Puke 信号清零端右移输入端
左移输入端
2.测试74LS194的置数功能,用发光二极管显示。
3.测试74LS194的右移逻辑功能,用发光二极管显示,并将 状态填入表1。 4.测试74LS194的左移逻辑功能,用发光二极管显示,并将 状态填入表2。

数字电路实训报告 电路移位寄存器及其应用

数字电路实训报告   电路移位寄存器及其应用

↑武汉职业技术学院电信学院数字电子技术实验报告实训科目: 数字电子技术实验(五)实训名称: 移位寄存器及其应用实训专业:实训者、序号:实训时间: 2011-2012年第一学期实训指导教师: 黄老师武汉职业技术学院实验(训)项目单(Training item)编制部门:电子信息工程学院编制人:日期:项目编号五项目名称移位寄存器及其应用训练对象电信工程学院电子类学生学时 3 课程名称数字电子技术实验教材(1)数字电子技术、(2)电子技能实训训练目的(1)掌握移位寄存器74LS194的功能特性(2)学会测量并检测74LS194的功能状态(3)阅读该器件的功能表,能掌握用该器件实现其他逻辑功能1.实训设备和器件(1)实训设备:数字电路学习机1台、导线若干(2)实训器件:移位寄存器74LS194 1片(3)图片:2.实训电路图A:74Ls194功能的测试:3.内容及实训步骤: (1):检查实验器件的好坏(包括数字电路学习机、导线)。

(2):将74LS194以正确的方式插入到数字电路学习机的正确位置。

(3):对照74LS 的引脚图将集成块的16脚(Vcc )接电源正极、8脚(GND )接地。

将11脚(CP ) 10脚、9脚(M1、M0)、2脚、7脚(Dsr 、Dsl )以及3、4、5、6(D0----D3)连接到开关上, 用于输入高低电平,将1脚(CR 非)连接到脉冲信号上。

最后将15、14、13、12即输出端连接 到二极管上。

(4):参照功能表,依次验证74LS194的各种功能,填入表格。

4.训总结与分析CR 非 M1 M0 CP Dsr DslD0 D1 D2 D3 Q 0n+1 Q 1n+1 Q2n+1 Q3n+1 功能 0 X X X X X X X X X 0 0 0 0 清0 1 X X 1(0)X X X X X X Q0n Q1n Q2n Q3n 保持1 0 0 X X X X X X X Q0n Q1n Q2n Q3n 1 0 1 ↑ 1 00 0 0 01 0 0 0右移 进d1 0 1 ↑ 0 1 1 0 0 0 0 1 0 0 10 1↑ 1 1 0 0 0 0 1 0 0 0 1 0 1 ↑ 0 0 1 0 0 0 0 1 0 0 1 0 1 ↑ d X X X X X d Q0n Q1n Q2n1 1 0 ↑ 0 1 0 0 0 0 0 0 0 1 左移 进d 1 1 0 ↑ 0 0 1 1 1 1 1 1 1 0 1 1 0 ↑ 1 1 0 0 0 0 0 0 0 1 1 1 0 ↑ 1 0 1 1 1 1 1 1 1 0 1 1 0 ↑ X d X X X X Q1n Q2n Q3n d 1 1 1 ↑ X X 0 0 0 0 0 0 0 0 置数1 1 1 ↑ X X 0 0 0 1 0 0 0 1 1 1 1 ↑ X X 1 0 0 0 1 0 0 0 1 1 1 ↑ X X 1 1 1 11 1 1 111 1↑X Xd0 d1 d2 d3 d0 d1 d2 d3(上表中X 代表任意电平,1代表高电平,0代表低电平)分析:4位双向移位寄存器74LS194具有并行置入、保持、左移、右移和异步清零的功能。

移位寄存器实验报告

移位寄存器实验报告

移位寄存器课程设计报告(一)实验原理移位寄存器是用来寄存二进制数字信息并且能进行信息移位的时序逻辑电路。

根据移位寄存器存取信息的方式可分为串入串出、串入并出、并入串出、并入并出4种形式。

74194是一种典型的中规模集成移位寄存器,由4个RS触发器和一些门电路构成的4位双向移位寄存器。

该移位寄存器有左移,右移、并行输入数据,保持及异步清零等5种功能。

有如下功能表(三)实验内容1.按如下电路图连接电路十个输入端,四个输出端,主体为74194.2.波形图参数设置:End time:2us Grid size:100ns波形说明:clk:时钟信号; clrn:置0s1s0:模式控制端 sl_r:串行输入端abcd:并行输入 qabcd:并行输出结论:clrn优先级最高,且低有效高无效;s1s0模式控制,01右移,10左移,00保持,11置数重载;sl_r控制左移之后空位补0或补1。

3.数码管显示移位(1)电路图(2)下载验证管脚分配:a,b,c,d:86,87,88,89 bsg[3..0]:99,100,101,102clk:122 clk0:125 clrn:95q[6..0]:51,49,48,47,46,44,43 s0,s1:73,72sl_r:82,83结论:下载结果与仿真结果一致,下载正确。

一、实验日志1.移位寄存器的实验真的挺纠结的,本来想用7449的,但是下载结果出现了错误,想到它在这个电路图中的功能比较单一,就自己写了一个my7449,终于对了。

五、思考题(1)简单说明移位寄存器的概念及应用情况?概念:移位寄存器是用来寄存二进制数字信息且能进行信息移动的时序逻辑电路。

根据移位寄存器存取信息的方式不同可以分为串入串出,串入并出,并入串出,并入并处4种形式。

应用:移位寄存器可以构成计数器,顺序脉冲发生器,串行累加器,串并转换,并串转换等。

(2)仿真常规方法步骤是什么?有什么注意事项?a)新建波形文件后波形图参数设置b)添加结点或总线后信号整合与位置分配c)激励输入及分段仿真注意事项:1.激励输入信号与待分析输出信号上下放置,界限分明;时钟信号置顶,其他输入信号可按异步控制,同步控制,数据输入顺序向下放置;同一元器件的控制信号就近放置;同一功能的控制信号就近放置;2.符合总线形式的IO信号优先整合;同一器件和同一属性的控制信号优先整合;脉冲信号一般不整合;整合前信号应按高位到低位顺序向下放置;整合后信号名以能直观反映该信号功能为宜;3.首先设置时钟信号等系统信号激励完成电路初始状态,其次将时间轴划分为连续的时间段,一时间段完成一小步实验内容。

实验五四位多功能移位寄存器的设计

实验五四位多功能移位寄存器的设计

实验五四位多功能移位寄存器的设计四位多功能移位寄存器的设计1、实验⽬的熟悉ISE系列软件的设计流程和基本⼯具使⽤;学习四位多功能移位寄存器的设计;学习VHDL的IF语句应⽤。

2、实验内容移位寄存器是⼀种常⽤的时序电路。

它除了具有存储代码的功能之外,还具有移位功能。

移位功能是指存储在寄存器⾥的代码可再移位脉冲的作⽤下依次左移或右移。

移位寄存器可⽤于寄存代码,还可⽤于数据的串/并转换,并/串转换以及数值运算和处理等。

3、实验器材Spartan 3E开发板。

4、实验说明实验中所需要的源⽂件在本报告附录中。

5、实验步骤步骤1:创建ISE⼯程(1)启动桌⾯上的ISE9.1图标,在Project Navigator中选择File→New Project。

(2)在弹出的对话框(见图1)中,设置⼯程名为yiwei,⼯程存放路径为E:\work\,顶层模块类型选择HDL,并单击Next按钮。

图1 ISE⼯程属性对话框(3)出现图2所⽰对话框,⽬标器件选择spartan3E,具体设计如下图。

图2 ISE⼯程属性对话框(4)⼀直点击Next,直到出现图3(即是刚才所设定的),最后点击Finish。

图3 ⼯程设计总表出现图4,这就是所建⽴的⼯程,现在我们需要在⾥⾯完成我们的设计。

图4 ISE⼯程属性对话框步骤2:创建新的VHDL设计⽂件(1)在ISE⽤户界⾯中,选择Project→New Source。

(2)在弹出的对话框(见图5)中,选择VHDL Module作为源程序类型,设置⽂件名为yiwei,并单击“下⼀步”按钮。

图5 VHDL的New Source Wizard(3)点击Next,直到出现图6,直到Finish。

图6 程序总结(4)点击Finish之后出现程序编辑窗⼝,在窗⼝中进⾏程序编辑。

步骤3:利⽤XST进⾏设计综合并仿真(1)点击processes⽬录下的synthesize-XST可以实现综合。

图8 综合后的程序编辑窗⼝(2)综合过后,在信息显⽰窗⼝中会显⽰Process "Synthesize" completedsuccessfully即综合成功。

移位寄存器实验心得

移位寄存器实验心得

移位寄存器实验心得在数字电路实验中,移位寄存器是一个非常重要的组件,它在数字信号处理和数据存储中起着至关重要的作用。

通过对移位寄存器的实验,我对其工作原理和应用有了更深入的了解,并且积累了一些宝贵的实验心得。

首先,移位寄存器是一种能够将数据按位进行移动的寄存器,它可以实现数据的左移和右移操作。

在实验中,我使用了几种不同类型的移位寄存器,包括串行移位寄存器和并行移位寄存器。

通过对这些寄存器的实验,我发现它们在数据处理中具有非常灵活的应用方式,能够满足不同的需求。

其次,通过实验我了解到移位寄存器在数字信号处理中的重要性。

在实际应用中,移位寄存器可以用来实现数字信号的平移、延迟和时序控制等功能。

在数字滤波、数字调制解调、数字信号处理等领域,移位寄存器都扮演着不可或缺的角色。

另外,通过实验我还学会了如何使用移位寄存器来实现数据存储和传输。

在实验中,我将移位寄存器和其他逻辑门电路结合起来,实现了数据的存储和传输功能。

这种方法可以在数字系统设计中发挥重要作用,提高数据处理的效率和可靠性。

在实验中,我还发现了一些需要注意的问题。

首先是移位寄存器的时钟信号。

在实际应用中,时钟信号的频率和相位对移位寄存器的工作有着重要影响,需要合理设计和控制。

其次是移位寄存器的级联和级联。

在实验中,我发现级联多个移位寄存器可以实现更复杂的数据处理功能,但是需要注意级联的时序和逻辑关系,以避免出现故障。

总的来说,通过对移位寄存器的实验,我对其工作原理和应用有了更深入的了解,并且积累了一些宝贵的实验心得。

移位寄存器在数字信号处理和数据存储中具有非常重要的作用,它可以实现数据的移动、存储和传输等功能,对于数字系统设计和数字信号处理具有重要意义。

希望通过不断的实验和学习,我能够更深入地理解移位寄存器的工作原理和应用,为将来的工程实践打下坚实的基础。

移位寄存器实验_卢上游

移位寄存器实验_卢上游

移位寄存器实验三大队三营卢上游C022012020实验一: m 序列的采样实现(内容包括: 迹函数表示法、的陪集分解、m 序列的线性结构)(一)、算法思路1.n21Z *-的陪集分解 Step1:求出集合, 即找出1到中所有与互素的数。

Step2:求 的陪集分解。

采用遍历的方法, 取中的任意元素, 根据平移等价公式:存在整数使得成立, 找出与采样平移等价的序列对应的元素, 并都置为-1, 即取为一个陪集的代表元, 放入到集合中, 由此可知, 当遍历完后就可以得到所有陪集的代表元了, 即为集合的所有元素。

Set3: 取集合中所有元素、、……、, 对所给的n 级m 序列进行采样,得到、、……、, 即所有不同的n 级m 序列。

2.m 序列的线性结构Step1: 对于一个n 级m 序列取前2n 项, 代入递推关系式中, 求解方程组, 得到n 阶本原多项式。

如果能够取得所有的n 级m 序列的前2n 项,那么就能求得所有的n 阶本原多项式, 而获得所有的n 级m 序列, 实验(1)已经给出, 在这个实验中只给出了一个本原多项式。

Step2:对于求解方程组, 的取值, 采用给定一个数值, 使得对应于的二进制数的第位, 如果满足方程组, 则代入到中, 即为本原多项式, 如果不满足, 则, 直到。

3.迹函数表示法取为n 次本原多项式的根, 利用多项式表示法表示出中的所有元素, 对中每一个元素, 求(Tr(),Tr(),Tr(),… ,Tr(),…), 即可得到G (f )中的所有序列。

因为在编程时发现迹函数的化解无法实现, 所以参考了刘帅在这一块的作业。

(二)、实验结果1.n21Z *-的陪集分解 用书上的例3.4.4验证本程序的正确性。

利用程序, 我们对4级m 序列进行采样, 实验结果如下:2.m 序列的线性结构以书上129页19题为例:3.迹函数表示法我们以5次本原多项式为例对程序进行验证, 实验结果如下:实验二: 梅西迭代算法实现(内容包括: 周期序列极小多项式、由已知m序列获得全部n次本源多项式)1.算法思路(1)一般梅西迭代算法(求产生N长二元序列的最短移位寄存器) Step1:取初始值: 。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验五、移位寄存器的设计
一、实验目的
设计并实现一个异步清零同步置数8位并入并出双向移位寄存器电路。

二、实验原理
在数字电路中,用来存放二进制数据或代码的电路成为寄存器。

寄存器按功能可分为:基本寄存器和移位寄存器。

移位寄存器中的数据可以在移位脉冲作用下一次逐位右移或左移,数据既可以并行输入、并行输出,也可以串行输入、串行输出,还可以并行输入、串行输出,串行输入、并行输出,十分灵活,用途也很广。

下面是一个并入串出的8位左移寄存器的VHDL描述:
library ieee;
use ieee.std_logic_1164.all;
port(data_in: in std_logic_vector(7 downto 0);
clk: in std_logic;
load: in std_logic;
data_out:out std_logic);
end;
architecture one of left8 is
signal q: std_logic_vector(7 downto 0);
begin
process(load,clk)
begin
if load='1' then
q<=data_in;
data_out<='Z';
elsif clk'event and clk='1' then
for I in 1 to 7 loop 图5-1
q(i)<=q(i-1);
end loop;
data_out<=q(7);
end if;
end process;
end one;
异步清零同步置数8位并入并出双向移位寄存器电路结构图如图5-1所示。

三、实验要求
输入信号有D[0]~D[7]、DIL、DIR、S、LOAD、CLK和CLR,其中CLK接时钟,其余接拨码开关,输出信号有Q[0]~Q[7],接发光二极管。

改变拨码开关的状态,观察实验结果。

实验工程项目命名为rlshift,源程序命名为rlshift8.vhd。

四、实验记录
对比较器实验结果造表,得到其真值表。

五、实验报告要求
1、详细叙述设计过程与实验步骤;
2、分析实验记录的正确性;
3、附程序清单。

相关文档
最新文档