计算机组成原理课程设计

计算机组成原理课程设计
计算机组成原理课程设计

课程设计报告

课程名称:计算机组成原理系别:

姓名:

班级:

学号:

成绩:

指导教师:

开课时间:20 -20 学年第学期

一.设计题目

计算机组成原理课程设计——简单模型机的微程序设计

二.主要内容

通过课程设计更清楚地理解下列基本概念:

1.计算机的硬件基本组成;

2.计算机中机器指令的设计

3.计算机中机器指令的执行过程;

4.微程序控制器的工作原理。

5.微指令的格式设计原则;

在此基础上设计可以运行一些基本机器指令的微程序的设计

三.具体要求

置数指令 IN 置数开关SW(KD0~KD7)的状态→R0

加法指令 ADD R0,,(addr):(R0)+(addr)→(R0)

存数指令 STA R0,(addr):(R0)→(addr)

输出指令 OUT (addr):(addr)→输出设备"LED"

跳转指令 JMP (addr):addr→PC

或指令OR RD,RS:(RS)或(RD)→(RD)

新加法指令 NADD (addr1),(addr2):(addr1)加(addr2)→(RD)

异或指令XOR (addr1),(addr2):(addr1)异或(addr2)→(RD)

与指令AND RD,RS:(RS)与(RD)→(RD)

求反指令 NOT RD:/(RD) →(RD)

四.进度安排

共1.5周11天的时间,具体安排如下:

1~2天:对整个课程设计的内容做详细的讲解,并辅导学生完成课程设计指导书的学习,使其掌握和理解课程设计的核心内容;

3 ~5天:学生在机房学习熟悉课程设计所使用的仿真软件,并深入了解该仿真软件所实现的模型机的指令系统(原有的5条指令)和微程序设计方法;

6~9天:在原有5条机器指令的基础上增加实现下述各功能的机器指令,试设计相应的机器指令的格式并改写原来的微程序使其可以运行所有的机器指令。

10~11天:根据自己设计的微程序系统写出相应的课程设计实验报告

五.成绩评定

六. 正文

一、模型机的CPU及系统硬件

基本模型机的CPU及系统硬件组成如图1所示:

图1 模型机的CPU及系统硬件组成

各部件的功能及控制信号如下:

运算器由算逻部件ALU(8位)、暂存器DR1、DR2及通用寄存器等组成。ALU的功能控制信号为

S3、S2、S1、S0、M、CN,可以实现48种算术和逻辑运算功能,如图2所示。

运算器为单总线结构,其输入端分别连接到暂存器DR1和DR2,其装入数据的微命令分别为LDDR1 和LDDR2,当它们为1电平时由节拍脉冲T4将数据总线上的数据装入相应的暂存器。R0、R1、R2为通用寄存器。R0的装入数据的微命令为LDR0,R1的装入数据的微命令为LDR1,R2的装入数据的微命令为LDR2。299为实现移位运算的装置,当299B微命令有效时,其数据端和数据总线连接。

控制器由程序计数器PC、指令寄存器IR、地址寄存器AR、时序电路、控制存储器及相应的译码电路组成。

程序计数器PC的功能是存放下一条指令的地址,其输出是向地址寄存器提供要将执行的指令在存储器中

的地址。在提供地址后立即加1,指向指令的下一个字节或下一条指令的地址。其控制微命令有三个。当LOAD=0

而LDPC=1时,由T4的正跳变将数据总线上的数据装入PC;当当LOAD=1而LDPC=1时PC的内容加1;

当PCB=1时,PC中的地址信息送到数据总线上。

指令寄存器IR用于存放当前执行的指令。当微命令LDIR=1时,由节拍脉冲T3将数据总线上的数据装入。

地址寄存器AR存放要从存储器中读出的数据或指令的地址或要向存储器写入数据或指令的地址。

当微命令LDAR=1时,由节拍脉冲T3将数据总线上的数据装入。

存储器RAM用于存放程序和数据。当片选信号CE=0时,如果W/R为0,则根据AR中的地址,从存储器读出数据并送到数据总线上;如果W/R为1,则根据AR中的地址,向存储器中写入数据总线上的数据。片选信号 CE是由微指令中的有关字段(B1B0)译码产生的。

模型机有两个外部设备:输入设备是置数开关SW,用于设置数据或地址,当微命令SWB=0时,设置的数据送到数据总线上;SWB也是由微指令中的有关字段(B1B0)译码产生的。输出设备是两位LED数码管,当微命令LEDB

=1时,数据总线上的数据送到数码管显示。

二、基本模型机的指令设计

根据基本模型机的硬件设计五条机器指令:外设输入指令IN、二进制加法指令ADD、存数指令STA、输出到外设指令OUT、无条件转移指令JMP。指令格式如下:

助记符机器指令码说明

IN0000 0000 ;置数开关SW(KD0~KD7)的状态→R0

ADD addr 0001 0000 ×××× ××××;(R0)+(addr)→(R0)

STA addr 0010 0000 ×××× ××××;(R0)→(addr)

OUT addr 0011 0000 ×××× ××××;(addr)→输出设备"LED"

JMP addr 0100 0000 ×××× ××××;addr→PC

说明:

指令IN为单字节指令,其余均为双字节指令,××××××××为要读写的主存储器单元的二进制地址码。

三、指令微流程分析

这十条指令的微流程图如图所示

该图中每个框上的数字表示该条微指令在控存中的地址(与指令格式有关,也与设计者的意愿有关)。

如何在一条微指令中实现一个框中的微操作与微指令的格式密切相关。

五、编写微程序

00:000001011000000100010000

01:000001011110110110000010

02:000001001100000001000000

03:000001000001000000000001

04:000001011110110110001101

05:000001011110110110010001

06:000001011110110110010011

07:000001011110110110010110

08:000001011010001000010111

09:000001011110110110011001

0A: 000001011110110110011111

0B:000001011010001000100101

0C:000001011010001000100111

0D:000001001110000000001110

0E:000001001011000000001111

0F:000001011010001000010000

10:100101011001101000000001

11:000001001110000000010010

12:000001101000001000000001

13:000001001110000000010100

14:000001001010000000010101

15:000001110000101000000001

16:000001001101000110000001

17:000001011011010000011000

18:111010011001101000000001

19:000001001110000000011010

1A: 000001001010000000011011

1B:000001011110110110011100

1C:000001001110000000011101

1D:000001001011000000011110

1E:100101011001101000000001

1F:000001001110000000100000

20:000001001010000000100001

21:000001011110110110100010

22:000001001110000000100011

23: 000001001011000000100100

24:011010011001101000000001

25:000001011011010000100110

26:101110011001101000000001

27:000010011001101000000001

此后就可以手动地用开关将微程序输入机器的控存。然后将控存的启动地址置为0,运行微程序,将要执行的测试程序存入主存,也可从主存中读出指令,检查输入的指令是否正确。

下面是利用该软件设计的微程序编码及各条微指令的功能分析。注意第一条微指令的微地址为01H,其它各条微指令的微地址都由上一行文字最后的数字(16进制)指定。

不难看出,上面的文字说明和我们所画的用微命令表示的微程序流程图中各个框中所列的微命令是一一对应的。

这个微程序可以根据用微命令表示的微程序流程图在本仿真软件上很方便地设计出来。

六.测试程序

00H:00110000; IN R0:(SW)→(R0)

01H:01000000; ADD R0,10:(RS)+(10)→(RD)

02H:00010000

03H:01010000; STA 11,R0:(R0)→11

04H:00010001

05H:01100000; OUT 11:11→(LED)

06H:00010001

07H:10000001; OR RS,RD:RS或RD→RD

08H:10010001; NADD (addr1),(addr2):(addr1)+(addr2)→RD

09H:00010010

0AH:00010011

0BH:10100001; XOR (addr1),(addr2):(addr1)或(addr2)→RD

0CH:00010100

0DH:00010101

0EH:10110001; AND RS,RD:(RS)与(RD)→RD

0FH:11000001; NOT RS:RS取反→RD

10H:00010001

11H:

12H:00010001

13H:00010001

14H:00010001

15H:00010001

七.总结

经过一周左右的努力,终于完成了计算机组成原理的课程设计.

课程设计中,我对计算机的基本组成、工作原理,以及他们之间的通信方式,微程序控制器的设计、微指令和微程序的编制、调试以及执行等过程在理论的基础上面有了更加深刻的理解。

虽然只有短短的一周,但是我学到了很多东西. 在学习过程中。光有理论知识还是不够的,要理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。这次设计中,我也发现了自己很多不足的地方。我会在以后的学习中不断学习,积累经验,提高自己。

总之,感谢老师和同学的帮助,在之后的学习过程中我会更加努力。

天大2016年12月《计算机组成原理》期末大作业考核要求

计算机组成原理 要求: 1.独立完成,作答时要按照模版信息 ....填写完整,写明题型、题号; 2.作答方式:手写作答或电脑录入,使用学院统一模版(模版详见附件); 3.提交方式:以下两种方式任选其一, 1)手写作答的同学可以将作业以图片形式打包压缩上传; 2)提交电子文档的同学可以将作业以word文档格式上传; 4.上传文件命名为“中心-学号-姓名-科目.rar”或“中心-学号-姓名-科 目.doc”; 5.文件容量大小:不得超过10MB。 请在以下几组题目中,任选一组题目作答,满分100分。 第一组: 一、论述题(20分) 1、简述:一条指令通常由哪些部分组成?简述各部分的功能。 二、分析题(30分) 1、指令格式结构如下所示,使分析指令格式以及寻址方式特点。 15 10 9 5 4 0 1. 有一台磁盘机器,平均寻道时间为30ms,平均旋转等待时间为120ms,数据传输速率为500B/ms,磁盘机桑存放着1000件每件3000B的数据。现欲把一件数据取走,更新后放回原地,假设一次取出或写入所需时间为:平均寻道时间+平均等待时间+数据传送时间。另外,使用CPU更新信息所需时间为4ms,并且更新时间同输入输出操作不相重叠。试问:(20分)(1)更新磁盘上全部数据需要多少时间? (2)若磁盘以及旋转速度和数据传输率都提高一倍,更新全部数据需要多少时间?2、有一个具有20位地址和32位字长的存储器,问:(30分) (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作为芯片选择?

第二组: 一、论述题(20分) 1、解释术语:总线周期。 二、分析题(30分) 1、CPU结构图如下图所示,其中有一个累加寄存器AC,各部分之间的连线表示数据通路,剪头表示信息传送方向。 (1)标明图中四个存储器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/取访问的数据通路。 三、计算题(共50分) 1、已知某磁盘存储器转速为2400转/分,每个记录面道数为200道,平均查找时间为60ms,每道存储容量为96Kbit,求磁盘的存取时间与数据传播率。(20分) 2、今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,近假设完成各部操作的时间依次为100ns,100ns,80ns,50ns。请问:(30分) (1)流水线的操作周期应设计为多少? (2)若相邻两条指令发生数据相关,并且在硬件上不采取措施,那么第二条指令推迟多少时间进行? (3)若果在硬件设计上加以改进,至少推迟多少时间?

计算机组成原理考试题库

计算机原理考试题库 一、选择题 1、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 2、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 3、完整的计算机系统应包括D。 A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机存储数据的基本单位为A。 A、比特Bit B、字节Byte C、字组Word D、以上都不对 5、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 6、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 7、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 8、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 9、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 10、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 11、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 12、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 13、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 14、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 15、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 16、存放欲执行指令的寄存器是D。 A、MAE B、PC C、MDR D、IR 17、用以指定待执行指令所在地址的是C。

计算机组成原理知识点总结——详细版

计算机组成原理2009年12月期末考试复习大纲 第一章 1.计算机软件的分类。 P11 计算机软件一般分为两大类:一类叫系统程序,一类叫应用程序。 2.源程序转换到目标程序的方法。 P12 源程序是用算法语言编写的程序。 目标程序(目的程序)是用机器语言书写的程序。 源程序转换到目标程序的方法一种是通过编译程序把源程序翻译成目的程序,另一种是通过解释程序解释执行。 3.怎样理解软件和硬件的逻辑等价性。 P14 因为任何操作可以有软件来实现,也可以由硬件来实现;任何指令的执行可以由硬件完成,也可以由软件来完成。对于某一机器功能采用硬件方案还是软件方案,取决于器件价格,速度,可靠性,存储容量等因素。因此,软件和硬件之间具有逻辑等价性。 第二章 1.定点数和浮点数的表示方法。 P16 定点数通常为纯小数或纯整数。 X=XnXn-1…..X1X0 Xn为符号位,0表示正数,1表示负数。其余位数代表它的量值。 纯小数表示范围0≤|X|≤1-2-n 纯整数表示范围0≤|X|≤2n -1

浮点数:一个十进制浮点数N=10E.M。一个任意进制浮点数N=R E.M 其中M称为浮点数的尾数,是一个纯小数。E称为浮点数的指数,是一个整数。 比例因子的基数R=2对二进制计数的机器是一个常数。 做题时请注意题目的要求是否是采用IEEE754标准来表示的浮点数。 32位浮点数S(31)E(30-23)M(22-0) 64位浮点数S(63)E(62-52)M(51-0) S是浮点数的符号位0正1负。E是阶码,采用移码方法来表示正负指数。 M为尾数。P18 P18

2.数据的原码、反码和补码之间的转换。数据零的三种机器码的表示方法。 P21 一个正整数,当用原码、反码、补码表示时,符号位都固定为0,用二进制表示的数位值都相同,既三种表示方法完全一样。 一个负整数,当用原码、反码、补码表示时,符号位都固定为1,用二进制表示的数位值都不相同,表示方法。 1.原码符号位为1不变,整数的每一位二进制数位求反得到反码; 2.反码符号位为1不变,反码数值位最低位加1,得到补码。 例:x= (+122)10=(+1111010)2原码、反码、补码均为01111010 Y=(-122)10=(-1111010)2原码11111010、反码10000101、补码10000110 +0 原码00000000、反码00000000、补码00000000 -0 原码10000000、反码11111111、补码10000000 3.定点数和浮点数的加、减法运算:公式的运用、溢出的判断。 P63 已知x和y,用变形补码计算x+y,同时指出结果是否溢出。 (1)x=11011 y=00011 (2)x=11011 y=-10101 (3)x=-10110 y=-00001

计算机组成原理试题及答案

2. (2000)10化成十六进制数是______。 A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7F0)16 3. 下列数中最大的数是______。 A.(10011001)2 B.(227)8 C.(98)16 D.(152)10 4. ______表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是______。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 6. 下列有关运算器的描述中,______是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 7. EPROM是指______。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 8. Intel80486是32位微处理器,Pentium是______位微处理器。 A.16B.32C.48D.64 9. 设[X]补=1.x1x2x3x4,当满足______时,X > -1/2成立。 A.x1必须为1,x2x3x4至少有一个为1 B.x1必须为1,x2x3x4任意 C.x1必须为0,x2x3x4至少有一个为1 D.x1必须为0,x2x3x4任意 10. CPU主要包括______。 A.控制器 B.控制器、运算器、cache C.运算器和主存 D.控制器、ALU和主存 11. 信息只用一条传输线,且采用脉冲传输的方式称为______。 A.串行传输 B.并行传输 C.并串行传输 D.分时传输 12. 以下四种类型指令中,执行时间最长的是______。 A. RR型 B. RS型 C. SS型 D.程序控制指令 13. 下列______属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D.文本处理 14. 在主存和CPU之间增加cache存储器的目的是______。 A. 增加内存容量 B. 提高内存可靠性 C. 解决CPU和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用______作为存储芯片。 A. SRAM B. 闪速存储器 C. cache D.辅助存储器 16. 设变址寄存器为X,形式地址为D,(X)表示寄存器X的内容,这种寻址方式的有效地址为______。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为______。 1

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

计算机组成原理模拟试题

计算机组成原理 1.(45.75)10=(___________)16 2.若[X]补=1.0110,则[1/2X]补=___________。 3.若X补=1.1001,按舍入恒置1法舍去末位得__________。 4.运算器的核心部件是__________。 5.动态MOS存储器的刷新周期安排方式有____________、 _____________、_____________。 6.若地址码8位,按字节编址则访存空间可达___________,若地址码10位,则访存空间可达_____________,若地址码20位,则访存空间可达_____________。 7.CPU中用于控制的寄存器有_______________________、 __________________ 和_____________________三种;8.控制器的组成方式可分为______________________和微程序控制器两类。 9.按数据传送方式,外围接口可分为_________________和 __________________。 10.指令中的操作数一般可分为_______操作数和_______操作数。11.申请掌握使用总线的设备,被称为__________。 12.某CRT显示器,分辨率800列╳600行,如果工作在256色模式下,则至少需要_________字节的显示存储器。 选择题: 1、浮点加减中的对阶是() A.将较小的一个阶码调整到与较大的一个阶码相同 B.将较大的一个阶码调整到与较小的一个阶码相同 C.将被加数的阶码调整到与加数的阶码相同 D.将加数的阶码调整到与被加数的阶码相同 2、下列哪一个属于检错纠码() A. BCD码 B. ASCII码 C. 奇偶校验码 D. 8421码 3、指令格式可表示为()和地址码的形态 A.指令码 B. 操作码 C.微指令 D. 寄存器码 4、在不同速度的设备之间传送数据( )

计算机组成原理考研知识点汇总

计算机组成原理考研知 识点汇总 一, 计算机系统概述 (一) 计算机发展历程 第一台电子计算机ENIAC诞生于1946年美国宾夕法尼亚大学.ENIAC用了18000电子管,1500继电器,重30吨,占地170m2,耗电140kw,每秒计算5000次加法.冯?诺依曼(VanNeumann)首次提出存储程序概念,将数据和程序一起放在存储器,使编程更加方便.50年来,虽然对冯?诺依曼机进行很多改革,但结构变化不大,仍称冯?诺依曼机. 发展阶段时间硬件技术速度/(次/秒) 第一代1946-1957 电子管计算机时代40 000 第二代1958-1964 晶体管计算机时代200 000 第三代1965-1971 中小规模集成电路计算机时代 1 000 000 第四代1972-1977 大规模集成电路计算机时代10 000 000 第五代1978-现在超大规模集成电路计算机时代100 000 000 EDVAC(Electronic Discrete Variable Automatic Computer)电子离散变量计算机 组成原理是讲硬件结构的系统结构是讲结构设计的 摩尔定律微芯片上的集成管数目每3年翻两番.处理器的处理速度每18个月增长一倍. 每代芯片的成本大约为前一代芯片成本的两倍 新摩尔定律全球入网量每6个月翻一番. 数学家冯·诺依曼(von Neumann)在研究EDVAC机时提出了“储存程序”的概念.以此为基础的各类计算机通称为冯·诺依曼机.它有如下特点: ①计算机由运算器,控制器,存储器,输入和输出五部分组成 ②指令和数据以同等的地位存放于存储器内,并可按地址寻访 ③指令和数据均用二进制数表示 ④指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置 ⑤指令在存储器内按顺序存放 ⑥机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成 图中各部件的功能 ·运算器用来完成算术运算和逻辑运算并将的中间结 果暂存在运算器内 ·存储器用来存放数据和程序 ·控制器用来控制,指挥程序和数据的输入,运行以及 处理运行结果 ·输入设备用来将人们熟悉的信息转换为机器识别的 信息 ·输出设备将机器运算结果转为人熟悉的信息形式

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

[0013]计算机组成原理 答案

- 1 - 西南大学培训与继续教育学院课程考试试题卷 学期:2020年秋季 课程名称【编号】: 计算机组成原理 【0013】 A 卷 考试类别:大作业 满分:100 分 一、大作业题目 1. 已知:x= 0.1011,y = - 0.0101,求 :[ 21x]补,[ 41 x]补,[ - x ]补,[21y]补,[4 1 y]补,[ - y ]补 1. 解: [ x ]补du = 0.1011 , [ y ]补 = 1.1011 [1/2 x ]补 = 0.01011 , [1/2y]补 = 1.11011 [1/4 x ]补 = 0.001011 ,[ 1/4 y ]补 = 1.111011 [ - x ]补 = 1.0101 , [ - y ]补 =0.0101 2.指令有哪些寻址方式?设指令格式如下所示,其中OP 为操作码,试分析指令格式特点。 18 12 11 10 9 5 4 0 OP ---------- 源寄存器 目标寄存器 3.动态存储器为什么要刷新?刷新有哪些方法?完成由2K ×4位芯片构成4K ×8位存储器连接图。 动态MOS 存储单元存储信息的原理,是利用MOS 管栅极电容具有暂时存储信息的作用。但由于漏 电流的存在,栅极电容上存储的电荷不可能长久保持不变,因此为了及时补充漏掉的电荷,避免存储信息丢失,需要定时地给栅极电容补充电荷,通常把这种操作称作刷新或再生。 常用的刷新方式有三种,一种是集中式,另一种是分散式,第三种是异步式。 集中式刷新:在整个刷新间隔内,前一段时间重复进行读/写周期或维持周期,等到需要进行刷新操作时,便暂停读/写或维持周期,而逐行刷新整个存储器,它适用于高速存储器。 分散式刷新:把一个存储系统周期t c 分为两半,周期前半段时间t m 用来读/写操作或维持信息,周期后半段时间t r 作为刷新操作时间。这样,每经过128个系统周期时间,整个存储器便全部刷新一遍。 异步式刷新:前两种方式的结合 芯片数=总容量/容量=4k*8÷2k*4=4片。将每四块分为一组,形成32位的数据宽度,根据该储存容量大小一共需要16位地址线(可以根版据储存容量除以数据宽度来确定)。 将32K*8芯片组成128K*16的只读度器,所以首先位扩展将数据线8扩展到16,即D0~D15,然问后字 扩展32K 是15条地址线,128是17条地址线,所以要答用2/4译码器将地址线15扩展到17,需要用到的芯片是(128/32)*(16/8)=8,连接如图所示!红色为A0~A14的地址总线。 4. 今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,今假设完成各步操作的时间依次为100ns,100ns,80ns,50ns 。请问:(1)流水线的操作周期应设计为多少?(2)若相邻两条加减法指令发生数据相关(ADD :R1,R2,R3 ;R2+R3->R1与SUB :R4,R1,R5;R1-R5->R4),而且在硬件上不采取措施,那么第二条指令要推迟多少时间进行。(3)如果在硬件设计上加以改进,至少需推迟多少时间? 5.什么是中断?什么是多重中断?假定硬件原来的响应顺序为0→1→2,试设置中断屏蔽字,将中断优先级改为1→2→0。(注:中断允许用“0”表示,中断禁示“1”表示)。 二、大作业要求 大作业共需要完成三道题: 第1-2题选作一题,满分35分;

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理-知识点

课程知识点分析 试题类型: 单项选择2’* 10 = 20’; 填空1’* 15 = 15’; 简答5’* 3 = 15’; 计算题6’* 5 = 30’; 分析论述10’*2 = 20’; 总分100’; 各位同学,在使用这份资料复习时,要注意: 带有红色标记的是重点内容; 尽管很多知识点只有几个字,但是涉及的内容却非常多,比如Cache映像机制;考虑到有些同学考试时有不好的习惯,为了避免麻烦,我在这儿只给大家提纲,请大家对应的看书; 请大家看时,把你特别不明白的地方标出来,发送给lei.z@,我在周一给大家讲解。蓝色标记是之前考过的,应该很重要。大题都在第四章以后--------------------------------------------------------------------- 第一章计算机系统概论 1.1教学内容介绍 (1计算机的发展与应用。 (2计算机系统的层次结构。

(3计算机的特点:快速性、通用性、准确性和逻辑性。 (4计算机的分类方法。 (5性能指标。 1.2重难点分析 (1计算机系统从功能上可划分为哪些层次?各层次在计算机系统中起什么作用? (2冯.诺依曼计算机体系的基本思想是什么?(选择、填空。指令和数据都是用二进制表示的 (3按照此思想设计的计算机硬件系统应由哪些部件组成?各起什么作用? (4如:指令和数据都存于存储器中,计算机如何区分它们? (5衡量计算机性能的主要指标- 机器字长(定义、主频、CPI、MIPS(含义、FLOPS等等 第三章系统总线 3.1教学内容 (1总线及分类。总线是连接各个部件的信息传输线,总线包括:片内总线、系统总线和通信总线。 (2理解总线标准的意义,看看你知道主板上的几种标准总线。 (3总线特性及性能指标: 包括机械特性、电气特性、功能特性和时间特性。 (4总线结构:单总线结构、双总线结构和三总线结构。 (5总线连接方式: 串行传送、并行传送和分时传送。

计算机组成原理试题及答案

计算机组成原理题集含答案 题库题目总数:293 第一章单选题 1、控制器、运算器和存储器合起来一般称为(主机): I/O部件 内存储器 外存储器 主机 2、冯?诺依曼机工作方式的基本特点是(按地址访问并顺序执行指令):按地址访问并顺序执行指令 精确结果处理 存储器按内部地址访问 自动工作 3、输入、输出设备以及辅助存储器一般统称为(外围设备): I/O系统 外围设备 外存储器 执行部件 4、计算机硬件能直接识别和执行的语言是(机器语言): 高级语言 汇编语言 机器语言 符号语言 判断题

5、若某计算机字代表一条指令或指令的一部分,则称数据字(错)。 6、若某计算机字是运算操作的对象,即代表要处理的数据,则称指令字(错)。 7、数字计算机的特点:数值由数字量(如二进制位)来表示,运算按位进行。(对) 8、模拟计算机的特点:数值由连续量来表示,运算过程是连续的。(对) 填空题 9、系统软件包括:服务程序、语言程序、(操作系统)、数据库管理系统。 10、计算机系统的发展按其核心部件采用器件技术来看经历了五代的变化,分别是(电子管)、(晶体管)、(集成电路)、(大规模集成电路)、(巨大规模集成电路)五个部分。 11、计算机系统是一个由硬件和软件组成的多级层次结构,这通常由(微程序级)、(一般机器级)、(操作系统级)、(汇编语言级)和(高级语言级)等组成,在每一级上都可以进行(程序设计)。 12、计算机的软件一般分为(系统软件)和(应用软件)两大部分。 13、计算机的硬件基本组成包括(控制器)、(运算器)、(存储器)、(输入设备)和(输出设备)五个部分。 简答题 14、什么是存储容量?什么是单元地址? 存储器所有存储单元的总数称为存储器的存储容量。灭个存储单元都有编号,称为单元地址。 15、什么是外存?简述其功能。 外存:为了扩大存储容量,又不使成本有很大的提高,在计算机中还配备了存储容量更大的磁盘存储器和光盘存储器,称为外存储器,简称外存。外存可存储大量的信息,计算机需要使用时,再调入内存。 16、什么是内存?简述其功能。 内存:一般由半导体存储器构成,装在底版上,可直接和CPU交换信息的存储器称为内存储器,简称内存。用来存放经常使用的程序和数据。。 17、指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是数据流。 18、什么是适配器?简述其功能。 适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调的工作。

计算机组成原理试题库(含答案)

计算机组成原理试题 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 2.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C)。 A.64K B.32KB C.32K D.16KB 3.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C)。 A.21 B.17 C.19 D.20 4.指令系统中采用不同寻址方式的目的主要是(C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度

5.寄存器间接寻址方式中,操作数处在(B)。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 6.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路 C.复杂指令计算机 D.超大规模集成电路 7.CPU响应中断的时间是_C_____。 A.中断源提出请求;B.取指周期结束;C.执行周期结束;D.间址周期结束。8.常用的虚拟存储器寻址系统由____A__两级存储器组成。 A.主存-辅存;B.Cache-主存;C.Cache-辅存;D.主存—硬盘。 9.DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作__A____。 A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA。10.浮点数的表示范围和精度取决于__C____。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数;

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

计算机组成原理大作业定稿版

计算机组成原理大作业 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机组成原理 大作业 院(系):物联网工程学院 专业: 计算机科学与技术 班级: 学号: 姓名: 摘要 1.计算机硬件系统:到目前为止,计算机仍沿用由冯.诺依曼首先提出的基于总线的计算机硬件系统。其基本设计思想为: a.以二进制形式表示指令和数据。 b.程序和数据事先存放在存储器中,计算机在工作时能够高速地从存储器中取出指令加以执行。 c.由运算器、控制器、存储器、输入设备和输出设备等五大部件组成计算机硬件系统。 2.计算机软件系统:所谓软件,就是为了管理、维护计算机以及为完成用户的某种特定任务而编写的各种程序的总和。计算机的工作就是运行程序,通过逐条的从存储器中取

出程序中的指令并执行指令所规定的操作而实现某种特定的功能。微型计算机的软件包括系统软件和用户(应用)软件。 关键词:计算机系统硬件存储器控制器运算器软件 目录 摘要 (2) 第一章总体设计 (4) 问题描述 (4) 实验环境 (4) 软件介绍 (4) 模块介绍 (4) 实验目的 (5) 实验内容 (5) 第二章原理图 (6) 第三章管脚分配 (7) 第四章微程序设计 (8) 1. alu_74181 (8)

2. romc (9) 第一章总体设计 问题描述 从两个reg_74244中分别取出两数经过总线,各自分别到达两个寄存器reg_74373,再由两个寄存器到达运算器alu_74181,在运算器里经过运算得出结果,结果再由总线传输进入另外的一个寄存器reg_74373,输出。 实验环境 软件介绍 ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,拿ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。 模块介绍 微程序控制器 微程序控制器是一种控制器,同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被

计算机组成原理重点难点习题解答

计算机组成原理复习题 一.单项选择题 1.计算机中的主机包含( A )。 A.运算器、控制器、存储器 B.运算器、控制器、外存储器 C.控制器、内存储器、外存储器 D.运算器、内存储器、外存储器 2.二进制数10010010,相应的十进制数是(B)(128+16+2=146) A.136 B.146 C.145 D.144 3.要使8位寄存器A中高4位变0,低4位不变,可使用(A)。逻辑乘 A. A∧0FH→A B.A∨0FH→A C. A∧F0H→A D. A∨F0H→A 4.在计算机内部用于汉字存储处理的代码是(B) A.汉字输入码 B.汉字内码 C.汉字字型码 D.汉字交换码 5.转移指令执行时,只要将转移地址送入( C.程序计数器)中即可 A.地址寄存器 B.指令寄存器 C.程序计数器 D.变址寄存器 6.设机器中存有代码10100011B,若视为移码,它所代表的十进制数为( B.35)。 A.-23 B.35 C.53 D-113 7.将(-25.25)十进制数转换成浮点数规格化(用补码表示),其中阶符、阶码共4位,数符、尾数共8位,其结果 为( B.0101,10011011 ) A.0011,10010100 B.0101,10011011 C.0011,1110 D.0101,1100101 8.(2000)10化成十六进制数是( B.(7D0)16)。

A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7FO)16 9. 下列数中最大的数是((10011001)2=153 )。 A.(10011001)2 B.(227)8C。(98)16 D.(152)10 10. ( D. 移码)表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 11. 在小型或微型计算机里,普遍采用的字符编码是( D. ASCⅡ码)。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 12. 下列有关运算器的描述中,(D. 既做算术运算,又做逻辑运算)是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 13.控制存储器存放的是(C.微程序)。 A.微程序和数据 B.机器指令和数据 C.微程序 D.机器指令 14. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为( B. 立即寻址)。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 15. 下面描述的RISC机器基本概念中正确的表达是( B. RISC机器一定是流水CPU)。 A. RISC机器不一定是流水CPU B. RISC机器一定是流水CPU C. RISC机器有复杂的指令系统 D. CPU配备很少的通用寄存器 16. 系统总线中地址线的功能是(D. 用于指定主存和I/O设备接口电路的地址)。 A. 用于选择主存单元地址 B. 用于选择进行信息传输的设备

计算机组成原理试题及答案

《计算机组成原理》试题 一、(共30分) 1.(10分) (1)将十进制数+107/128化成二进制数、八进制数和十六进制数(3分) (2)请回答什么是二--十进制编码?什么是有权码、什么是无权码、各举一个你熟悉的有权码和无权码的例子?(7分) 2.已知X=0.1101,Y=-0.0101,用原码一位乘法计算X*Y=?要求写出计算过程。(10分) 3.说明海明码能实现检错纠错的基本原理?为什么能发现并改正一位错、也能发现二位错,校验位和数据位在位数上应满足什么条件?(5分) 4.举例说明运算器中的ALU通常可以提供的至少5种运算功能?运算器中使用多累加器的好处是什么?乘商寄存器的基本功能是什么?(5分) 二、(共30分) 1.在设计指令系统时,通常应从哪4个方面考虑?(每个2分,共8分) 2.简要说明减法指令SUB R3,R2和子程序调用指令的执行步骤(每个4分,共8分) 3.在微程序的控制器中,通常有哪5种得到下一条指令地址的方式。(第个2分,共10分) 4.简要地说明组合逻辑控制器应由哪几个功能部件组成?(4分) 三、(共22分) 1.静态存储器和动态存储器器件的特性有哪些主要区别?各自主要应用在什么地方?(7分) 2.CACHE有哪3种基本映象方式,各自的主要特点是什么?衡量高速缓冲存储器(CACHE)性能的最重要的指标是什么?(10分) 3.使用阵列磁盘的目的是什么?阵列磁盘中的RAID0、RAID1、RAID4、RAID5各有什么样的容错能力?(5分) 四、(共18分) 1.比较程序控制方式、程序中断方式、直接存储器访问方式,在完成输入/输出操作时的优缺点。(9分) 2.比较针式、喷墨式、激光3类打印机各自的优缺点和主要应用场所。(9分) 答案 一、(共30分) 1.(10分) (1) (+107/128)10 = (+1101011/10000000)2 = (+0.1101011)2 = (+0.153)8 = (+6B)16 (2) 二-十进制码即8421码,即4个基2码位的权从高到低分别为8、4、2、1,使用基码的0000,0001,0010,……,1001这十种组合分别表示0至9这十个值。4位基二码之间满足二进制的规则,而十进制数位之间则满足十进制规则。 1

相关文档
最新文档