四路抢答器课程设计(含protues仿真文件)汇总

四路抢答器课程设计(含protues仿真文件)汇总
四路抢答器课程设计(含protues仿真文件)汇总

课程设计说明书

2015-2016 学年第 1 学期

学院:

专业:

学生姓名:学号:31

课程设计题目:4路抢答器(含直流电源)制作指导教师:

日期:2015-12-18

目录

1、课程设计目的 (3)

2、课程设计内容和要求

2.1、设计内容 (3)

2.2、设计要求 (3)

3、设计方案 (3)

3.1.1、设计电路功能的分析 (3)

3.1.2、方案论证 (4)

3.2、主要芯片介绍 (4)

3.3、抢答电路设计 (8)

3.4、显示电路设计 (9)

3.5、555震荡电路设计 (9)

3.6、电源电路 (10)

3.7、时钟电路 (10)

3.7、违规控制 (11)

3.9、整体电路 (11)

4、课程设计总结 (12)

5、参考文献 (12)

1 课程设计的目的

1,了解抢答器电路设计的基本实现原理;

2,掌握时序逻辑电路的逻辑功能和使用方法;

3、Protues仿真。

2 课程设计的设计和要求

2.1 设计内容

1,查阅所用器件技术资料,详细说明设计的抢答器电路工作流程;

2,抢答器电路采用与编码器、译码器、定时器、电阻、电容、与或非门等电子器件构成,参加组数为四组。

3,电路可以实现由选手按键先后判断谁获得答题权;抢答成功时点亮相应的指示灯,且抢答成功选手号数在数码管上显示。

4,主持人没有按抢答开始按键时,若有人抢答,抢答无效,且该选手直接取消抢答资格;

5, 抢答开始后有20S抢答倒计时,成功抢答后要有60S回答问题倒计时。

2.2 设计要求

基本要求:

(1) 在抢答开始后,若有参赛者按下抢答按钮,能准确判断出最先按下抢答按钮的参赛选手,并把其编号显示出来。

(2) 成功抢答后,若再有人按下抢答按钮,抢答状态不发生改变。

(3)具有复位功能,按下复位按钮,可进入下一次抢答准备阶段。

(4)要完成作品的仿真演示(用proteus仿真软件实现)和实物制作(万能板上焊接电路),且作品设计中只能用编码器、译码器、定时器、电阻、电容、与或非门等基本器件或芯片,不可以用单片机或PLC等控制器。

(5)作品工作电压只提供交流220V电源,作品中所用其他电压由交流220V 电源进行转换供给,电源转换电路须自行设计制作。

发挥部分:

(1)抢答开始后要有20S抢答倒计时,成功抢答后要有60S回答问题倒计时。

(2)若抢答者违规,能实现用指示灯记录违规情况,达到一定违规次数后有相应处理措施。

(3)在实现上述功能外,可自行设计增加具有创新特色的抢答器附加功能。

3 方案的设计

3.1.1设计电路功能的分析

通过任务书可知该电路的功能为:1,主持人还没说开始抢答,选手不能抢答,如果有选手在主持人还没说开始抢答时抢答会被视为违规操作,违规者直接取消抢答资格;2,主持人说开始抢答时,选手开始抢答,抢答开始后有20S抢答倒计时;3,抢答时,分辨出选手按键的先后并锁存优先抢答器的编号,使非优先者抢答无效;4,抢答成功的人对应的发光二级管亮并数码管显示对应的号数;5,抢答时间有60秒,60秒后自动复位,若60秒内回答完,可以手动复位。

通过分析,知工作原理是:通电后,主持人按下开始抢答按钮,主持人宣布抢答“开始”,倒计时20秒,20秒内选手可以抢答,抢答器处于工作状态。抢答器需完成:①优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码电路使对应的二极管发光,显示对应选手号数;②抢答成功后倒计时改为60秒,60秒内选手必须完成答题内容;③控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答。当选手将问题回答完毕时,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答,若60秒抢答成功的选手未能完成答题内容,系统自动复位。

3.1.2 方案论证

本课题的设计方案的电路主要由主题电路和扩展电路构成。通过控制电路和主持人开关启动抢答电路两部分组成主体电路;优先编码电路、锁存器、译码电路将选手的输入信号通过二级管输出,以及播放音乐,构成扩展电路。

图1. 四路抢答器的工作框图

工作原理:接通电源后,主持人未按下开始抢答按钮,抢答器处于禁止状态,发光二级管灭,数码管显示0,此时,若有选手抢答,视为违规,相应的二极管发光,并且取消抢答资格;当主持人将按下开始按钮,抢答器开始工作,选手开始抢答并且倒计时20秒,通过优先判断,编号锁存,及译码电路,对应的二极管发光,并使数码管显示抢答成功的选手号数;当选手将问题回答完毕时,主持人操作控制开关,使系统回复到禁止工作状态,若60秒抢答成功的选手未能完成答题内容,系统自动复位,以便进行下一轮抢答。

通过对该方案进行分析可知:通过控制电路,使电路图简单明了,避免重复,控制起来更加方便。选手的动作的先后有很强的分辨力,即使先后只相差几毫秒,抢答器也能分辨出来,抢答器直线实现动作选手的编号,并保持到主持人清零为止,加上定时系统配合应用。因此该方案具有较强的实用性。

3.2 主要芯片介绍

3.2.1优先编码器74ls147

引出端符号:

1-9 编码输入端(低电平有效),ABCD 编码输出端(低电平有效)

3.2.2 D触发器74ls175:

数据选择端(S)为四组共用,供四组从各自的2个数据(A1、B1、A2、B2、A3、B3、A4、B4)中分别选取1个所需数据,只有在四组共用的选通端G为低电平时才可选择数据。

3.2.3 译码器74ls247

3.2.4 555定时器

下图就是利用555定时器构成的多谐振荡器原理图,其中R1=1.5k,R2=1.5k,C1=0.2uf,所以产生时钟脉冲的频率由f=1/(0.69(R1+2R2)C1可计算出f=333kHz,远远超出设计要求产生的1kHz频率,这说明第一个选手按下按键后,电路可以马上锁存,防止第二名选手即使在很短的时间按了键都没效。实现了四人抢答器的优先判决这个功能

它的各个引脚功能如下:

1脚:外接电源负端VSS或接地,一般情况下接地。

8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS型时基电路VCC的范围为3 ~ 18V。一般用5V。 3脚:输出端Vo 2脚:低触发端 6脚:TH高触发端

4脚:是直接清零端。当此端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。 7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。

在1脚接地,5脚未外接电压,两个比较器A1、A2基准电压分别为的情况下,555时基电路的功能表如表1示

3.2.5 74ls192

74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如下所示;图中:为置数端,为加计数端,为减计数端,为非同步进位输出端,为非同步借位输出端,P0、P1、P2、P3为计数器输入端,为清除端,Q0、Q1、Q2、Q3为数据输出端。

3.3 抢答电路设计

该部分主要完成两个功能:一是分辨选手按键的先后,并锁存优先抢答者的号;二是禁止其他选手按键操作无效。选用优先编码器74LS147和D触发器

74ls157分别实现上述功能。具体电路图如图所示:

3.4 显示电路设计

该部分主要完成的是:将抢答电路中存储的编号型号通过发光二级管提示出哪位选手抢答成功,并同时显示强大成功选手号数。具体电路图如图所示:

3.5 555震荡电路设计

该部分主要完成的是:555振荡电路位74ls573提供cp脉冲

3.6电源电路

该部分电路主要功能是把220v交流电源转换为稳定的5v直流电源。

3.7 时钟电路

20秒和60秒倒计时

3.8违规控制电路

3.9 整体电路

4 课程设计总结

通过这次课程设计,不但巩固了已学的理论知识,还加强了我的动手、思考和解决问题的能力。同时,通过网上搜索和图书馆查找资料等多方面的查询,我学会了许多课本上学不到的东西,也更加深刻地体会了理论联系实际的重要性,要多实践,方能真正的理解知识。

首先通过查资料明白了设计的大体思路,每一步该实现怎么样的功能,怎么实现该功能。接下来是找资料了解各芯片的功能,并通过芯片实现其功能。最后设计电路图和仿真。同时也学会了protues等软件的使用,让我更加了解了芯片的功能以及设计电路的方法和步骤。

也让我了解了从前的学习过程很浮浅,通常只注重理论理解,不能将理论运用与现实,而现在要做课程设计,就不得不要求对所用到的知识有更深层次的理解。因为课程设计的内容比及书本中的理论知识而言,更接近于现实生活,是需要动手的,而理论到实践的转化往往是一个艰难的过程,它犹如一只拦路虎,横更在我的面前。所以我更加努力、充分利用自己身边的各种资源解决问题。

本次课程设计主要是设计和仿真主体电路,虽然在设计中会遇到这样那样的问题,有时认为是正确的,而在仿真中却出现了这样那样的问题。但最后通过问同学或查资料都解决了。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知

识理解得不够深刻,掌握得不够牢固。

此次课程设计,学到了很多课内学不到的东西,如独立思考解决问题,出现差错是的应变能力,都受益非浅,同时也知道了我以后的努力方向:理解理论,更要多思考,将理论运用于实践,这样才能使自己去完成高质量的项目。

在此,我对给予我精心辅导的老师和周围帮助我的同学表示衷心的感谢!

5 参考文献

1.秦曾煌.电工学(第七版)(下册).北京:高等教育出版社,2009.6

6 protues文件

4路抢答器课程设

计(protues仿真).z

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

四路抢答器设计

09电子信息工程专业技能训练总结 题目:四路抢答器设计 班级:电子信息工程092班 姓名: 学号: 2012年5月

四路抢答器设计 一、设计要求及方案设计 1.1设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由?9?倒计到?0?时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 的脉冲信号,作为 (6)可用石英晶体振荡器或者555定时器产生频率为1H z 定时计数器的CP信号。

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

通信仿真课程设计-matlab-simulink

成都理工大学工程技术学院 《通信仿真课程设计》报告 班级:信息工程1班 姓名:寇路军 学号: 201620101133 指导教师:周玲 成绩: 2019 年 3月 23 日

目录 通信仿真课程设计报告 (2) 一.绪论 (2) 二.课程设计的目的 (2) 三.模拟调制系统的设计 (3) 3.1 二进制相移键控调制基本原理 (3) 3.2 2PSK信号的调制 (3) 3.2.1模拟调制的方法 (3) 3.3 2PSK信号的解调 (4) 3.4 2PSK的“倒∏现象”或“反向工作” (5) 3.5功率谱密度 (5) 四.数字调制技术设计 (7) 4.1 2PSK的仿真 (7) 4.1.1仿真原理图 (7) 4.1.2 仿真数据 (7) 4.1.3 输出结果 (9) 总结 (10) 参考文献 (11)

通信仿真课程设计报告 一.绪论 随着社会的快速发展,通信系统在社会上表现出越来越重要的作用。目前,我们生活中使用的手机,电话,Internet,ATM机等通信设备都离不开通信系统。随着通信系统与我们生活越来越密切,使用越来越广泛,对社会对通信系统的性能也越高。另外,随着人们对通信设备更新换代速度越来越快。不得不缩短通信系统的开发周期以及提高系统性能。针对这两方面的要求,必需要通过强大的计算机辅助分析设计技术和工具才能实现。自从现代以来,计算机科技走上了快速发展道路,实现了可视化的仿真软件。 通信系统仿真,在目前的通信系统工程设计当中。已成为了不可替代的一部分。它表现出很强的灵活性和适应性。为我们更好地研究通信系统性能带来了很大的帮助。本论文主要针对模拟调制系统中的二进制相移键控调制技术进行设计和基于Simulink进行仿真。通过系统仿真验证理论中的结论。本论文设计的目的之一是进一步加强理论知识,熟悉Matlab软件。 Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink 已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。 二.课程设计的目的 1.掌握模拟系统2PSK调制和解调原理及设计方法。 2.熟悉基于Simulink的通信系统仿真。

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

基于Multisim四路抢答器设计

EAD实训 课程设计报告 课题基于multisim的四路抢答器设计教师 专业13电气工程及其自动化 学号 姓名

2016、4、28 一.设计题目 基于Multisim四路抢答器设计 二.主要容 设计4人数字式竞赛抢答器 三.具体要求 (1)在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢答器,组成设定一个抢答按钮供参赛者使用。 (2)设置一个系统清零和抢答控制开关K(该开关由主持人控制),当开关K被抢按下时,抢答开始(允许抢答),打开后抢答电路清零。 (3)抢答器具有一个抢答信号的鉴别、锁存及显示功能。即有抢答信号输入(参赛者的开关中任意一个开关被按下)时,锁存相应的编号, 并在LED数码管上显示出来,同时扬声器发生声响。此时再按其他 任何一个抢答器开关均无效,优先抢答选手的编号一直保持不变,直 到主持人将系统清除为止。 四.进度安排 第一天上午:介绍设计所用仿真软件;布置任务,明确课程设计的完整功能和要求。 下午:图书馆查阅资料。 第二天全天:消化课题,了解设计要求,明确被设计系统的全部功能。

第三天全天:确定总体设计方案,画出系统的原理框图。 第四天全天:绘制单元电路并对单元电路进行仿真。 第五天全天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天上午:完成整体设计并仿真验证。 下午:准备课程设计报告。 第七天上午:对课程设计进行现场运行检查,给出实践操作成绩。五.成绩评定 成绩分为三部分:考勤占30%,实践操作占40%,课程设计报告占30% 基于Multisim四路抢答器设计 一.设计容 基于Multisim四路抢答器设计 二.设计目的及要求 1.掌握抢答器的工作原理及其设计方法 2. 学会用Multisim10软件操作实验容 3. 掌握设计性试验的实验方法 基本要求: (1)在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢答

基于stm32的四路抢答器课程设计说明书大学论文

课程设计说明书题目:基于STM32的四路抢答器 学院: 年级专业: 学号: 学生姓名: 指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。在我们各种竞赛中我们也经常能看到有抢答的环节,某些举办方采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性,而抢答器的应用就能避免这种弊端。今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求时就无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样,是一种高效能的产品。而如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计。 本文实现了一个基于嵌入式STM32单片机的4路抢答器系统设计,本系统设计主要分为硬件设备和软件控制两大部分。外部硬件使用STM32单片机作为控制中心,用4个按键作为抢答输入,抢答开始后,抢答成功者的LED灯标识为红色闪烁,并且显示各抢答输入的时间。 关键词:智能抢答器,STM32,按键输入,数码管显示

目录 摘要 (2) 目录 (3) 第1章绪论 (5) 1.1课题研究的相关背景 (5) 1.2选题的目的和意义 (5) 1.3课题研究的内容 (5) 1.4国内外研究现状 (6) 1.5抢答器目前存在的主要问题 (6) 第2章抢答器的系统概述 (7) 2.1系统的主要功能 (7) 2.2抢答器的工作流程 (7) 2.3STM32的功能及简介 (7) 2.4抢答器的优点及组成 (10) 2.5本章小结 (11) 第3章系统的硬件设计与开发 (11) 3.1系统硬件总体设计 (11) 3.2STM32最小系统 (12) 3.4时钟频率电路的设计 (14) 3.5复位电路的设计 (15) 3.6数码管显示 (16) 3.7键盘电路的设计 (16) 3.8LED电路 (18) 3.9本章小结 (18) 第4章系统的软件设计与开发 (19) 4.4主要程序分析 (20) 4.5本章小结 (29) 第5章总结与展望 (30) 5.1总结 (30)

通信系统建模与仿真课程设计

通信系统建模与仿真课程设计2011 级通信工程专业1113071 班级 题目基于SIMULINK的基带传输系统的仿真姓名学号 指导教师胡娟 2014年6月27日

1任务书 试建立一个基带传输模型,采用曼彻斯特码作为基带信号,发送滤波器为平方根升余弦滤波器,滚降系数为0.5,信道为加性高斯信道,接收滤波器与发送滤波器相匹配。发送数据率为1000bps,要求观察接收信号眼图,并设计接收机采样判决部分,对比发送数据与恢复数据波形,并统计误码率。另外,对发送信号和接收信号的功率谱进行估计。假设接收定时恢复是理想的。 2基带系统的理论分析 1.基带系统传输模型和工作原理 数字基带传输系统的基本组成框图如图1 所示,它通常由脉冲形成器、发送滤波器、信道、接收滤波器、抽样判决器与码元再生器组成。系统工作过程及各部分作用如下。 g T(t) n 定时信号 图 1 :数字基带传输系统方框图 发送滤波器进一步将输入的矩形脉冲序列变换成适合信道传输的波形g T(t)。这是因为矩形波含有丰富的高频成分,若直接送入信道传输,容易产生失真。 基带传输系统的信道通常采用电缆、架空明线等。信道既传送信号,同时又因存在噪声n(t)和频率特性不理想而对数字信号造成损害,使得接收端得到的波形g R(t)与发送的波形g T(t)具有较大差异。 接收滤波器是收端为了减小信道特性不理想和噪声对信号传输的影响而设置的。其主要作用是滤除带外噪声并对已接收的波形均衡,以便抽样判决器正确判决。 抽样判决器首先对接收滤波器输出的信号y(t)在规定的时刻(由定时脉冲cp控制)进行抽样,获得抽样信号{r n},然后对抽样值进行判决,以确定各码元是“1”码还是“0”码。 2.基带系统设计中的码间干扰和噪声干扰以及解决方案

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化 *班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的 情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作 用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状 态中。 1、设计一个可供 4 人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。 3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号 一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。 并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10 秒,当主持人启动“开始” 开关后,定时器开始减计。 5、设定的抢答时间内,选手可以抢答,这时定时器开始工作,显示器上显示 选手的号码和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时 扬声器报警发出声音,定时器上显示 0。

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

四路抢答器课程设计(含protues仿真文件)汇总

课程设计说明书 2015-2016 学年第 1 学期 学院: 专业: 学生姓名:学号:31 课程设计题目:4路抢答器(含直流电源)制作指导教师: 日期:2015-12-18

目录 1、课程设计目的 (3) 2、课程设计内容和要求 2.1、设计内容 (3) 2.2、设计要求 (3) 3、设计方案 (3) 3.1.1、设计电路功能的分析 (3) 3.1.2、方案论证 (4) 3.2、主要芯片介绍 (4) 3.3、抢答电路设计 (8) 3.4、显示电路设计 (9) 3.5、555震荡电路设计 (9) 3.6、电源电路 (10) 3.7、时钟电路 (10) 3.7、违规控制 (11) 3.9、整体电路 (11) 4、课程设计总结 (12) 5、参考文献 (12)

1 课程设计的目的 1,了解抢答器电路设计的基本实现原理; 2,掌握时序逻辑电路的逻辑功能和使用方法; 3、Protues仿真。 2 课程设计的设计和要求 2.1 设计内容 1,查阅所用器件技术资料,详细说明设计的抢答器电路工作流程; 2,抢答器电路采用与编码器、译码器、定时器、电阻、电容、与或非门等电子器件构成,参加组数为四组。 3,电路可以实现由选手按键先后判断谁获得答题权;抢答成功时点亮相应的指示灯,且抢答成功选手号数在数码管上显示。 4,主持人没有按抢答开始按键时,若有人抢答,抢答无效,且该选手直接取消抢答资格; 5, 抢答开始后有20S抢答倒计时,成功抢答后要有60S回答问题倒计时。 2.2 设计要求 基本要求: (1) 在抢答开始后,若有参赛者按下抢答按钮,能准确判断出最先按下抢答按钮的参赛选手,并把其编号显示出来。 (2) 成功抢答后,若再有人按下抢答按钮,抢答状态不发生改变。 (3)具有复位功能,按下复位按钮,可进入下一次抢答准备阶段。 (4)要完成作品的仿真演示(用proteus仿真软件实现)和实物制作(万能板上焊接电路),且作品设计中只能用编码器、译码器、定时器、电阻、电容、与或非门等基本器件或芯片,不可以用单片机或PLC等控制器。 (5)作品工作电压只提供交流220V电源,作品中所用其他电压由交流220V 电源进行转换供给,电源转换电路须自行设计制作。 发挥部分: (1)抢答开始后要有20S抢答倒计时,成功抢答后要有60S回答问题倒计时。 (2)若抢答者违规,能实现用指示灯记录违规情况,达到一定违规次数后有相应处理措施。 (3)在实现上述功能外,可自行设计增加具有创新特色的抢答器附加功能。 3 方案的设计 3.1.1设计电路功能的分析 通过任务书可知该电路的功能为:1,主持人还没说开始抢答,选手不能抢答,如果有选手在主持人还没说开始抢答时抢答会被视为违规操作,违规者直接取消抢答资格;2,主持人说开始抢答时,选手开始抢答,抢答开始后有20S抢答倒计时;3,抢答时,分辨出选手按键的先后并锁存优先抢答器的编号,使非优先者抢答无效;4,抢答成功的人对应的发光二级管亮并数码管显示对应的号数;5,抢答时间有60秒,60秒后自动复位,若60秒内回答完,可以手动复位。

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

通信仿真课程设计-matlab-simulink

理工大学工程技术学院 《通信仿真课程设计》报告 班级:信息工程1班 姓名:寇路军 学号: 3 指导教师:周玲 成绩: 2019 年 3月 23 日

目录 通信仿真课程设计报告 (2) 一.绪论 (2) 二.课程设计的目的 (2) 三.模拟调制系统的设计 (3) 3.1 二进制相移键控调制基本原理 (3) 3.2 2PSK信号的调制 (3) 3.2.1模拟调制的方法 (3) 3.3 2PSK信号的解调 (4) 3.4 2PSK的“倒∏现象”或“反向工作” (5) 3.5功率谱密度 (5) 四.数字调制技术设计 (7) 4.1 2PSK的仿真 (7) 4.1.1仿真原理图 (7) 4.1.2 仿真数据 (7) 4.1.3 输出结果 (9) 总结 (10) 参考文献 (11)

通信仿真课程设计报告 一.绪论 随着社会的快速发展,通信系统在社会上表现出越来越重要的作用。目前,我们生活中使用的手机,,Internet,ATM机等通信设备都离不开通信系统。随着通信系统与我们生活越来越密切,使用越来越广泛,对社会对通信系统的性能也越高。另外,随着人们对通信设备更新换代速度越来越快。不得不缩短通信系统的开发周期以及提高系统性能。针对这两方面的要求,必需要通过强大的计算机辅助分析设计技术和工具才能实现。自从现代以来,计算机科技走上了快速发展道路,实现了可视化的仿真软件。 通信系统仿真,在目前的通信系统工程设计当中。已成为了不可替代的一部分。它表现出很强的灵活性和适应性。为我们更好地研究通信系统性能带来了很大的帮助。本论文主要针对模拟调制系统中的二进制相移键控调制技术进行设计和基于Simulink进行仿真。通过系统仿真验证理论中的结论。本论文设计的目的之一是进一步加强理论知识,熟悉Matlab软件。 Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。在该环境中,无需大量书写程序,而只需要通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点,并基于以上优点Simulink 已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。 二.课程设计的目的 1.掌握模拟系统2PSK调制和解调原理及设计方法。 2.熟悉基于Simulink的通信系统仿真。

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

模电课程设计--基于74LS74_D触发器的四路抢答器

基于74LS74 D触发器的四路抢答器 1.实验目的 利用74LS74 D触发器设计供4人用的抢答器,用以判断抢答优先权,并可以实现如下功能: (1)抢答开始之前,主持人按下复位按钮,所有指示灯和数码管均熄灭; (2)主持人宣布开始抢答后,先按下按钮者对应的指示灯点亮,同时数码管显示该选手的序号; (3)此后他人再按下各自的按钮时,电路则不起作用。 2.总体设计方案或技术路线 四路抢答器方案流程图 (1)抢答控制电路由两片74LS20与非门实现; (2)选手抢答输入端、主持人控制端由两片D触发器实现; (3)灯光提示电路由高电平指示灯与CD4511数码管实现。 3.实验电路图 (1)直流稳压电源 1台 (2)EEL-6模拟、数字电子技术实验箱 1台 (3)74LS74 D触发器 2片 (4)74LS20与非门 2片 (5)CD4511数码管 4只 (6)导线若干 5.理论分析或仿真分析结果 (1)主持人按下控制开关,将开关置于“清零”位置,D触发器置零,此时所有的指示灯和数码管均熄灭,选手按下按钮,指示灯和数码管均无任何反应; (2)主持人将开关置于“1”位置,指示灯亮,发出答题信号,此时,选手按下相应的按钮,指示灯亮,数码管显示选手的序号,并且优先作答者对应的74LS20与非门的输出将封锁其他选手的信号的输出,使其按钮不发挥作用,直到主持人再次清除信号为止; (3)主持人再次清零后,进入下一个答题周期。 6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录) (1)复位功能(主持人置“0”)

码管的状态。 7.实验结论 根据本设计电路可实现预定的主持人清零复位、选手抢答以及抢答提示等基本功能,各功能模块均能正常工作,达到设计要求,完成了设计任务。 8.实验中出现的问题及解决对策 (1)数码管接触不良,导致开始阶段数码管显示异常。 对策:更换导线,排除故障;

基于Packet_tracer设计校园网通信系统设计与Matlab仿真_课程设计报告

《通信系统课程设计》报告

目录 一、课程设计内容及要求 (3) (一)设计内容 (3) (二)设计要求 (3) 二、校园网原理介绍 (4) 2.1校园网 (4) 2.2校园网的功能 (4) 三、校园网设计分析 (6) 3.1 VLAN的划分 (6) 3.2 三层结构模型 (7) 四、设计过程及模块分析 (8) 4.1 网络拓扑 (8) 4.3 交换机配置 (8) 4.4 DHCP服务 (9) 4.5 DNS HTTP FTP Email服务设置 (10) 4.6 WLAN配置 (13) 4.7 PAT(基于端口的NAT) (14) 4.8 ACL简单配置 (15) 4.9 STP生成树 (17) 4.10 IPsec VPN (17) 五、总结与体会 (19)

一、课程设计内容及要求 1.1设计内容 (1)校园网应具有一定的规模,节点数量和各部门的子网数量可以参照我校的实际情况设置; (2)校园网内部结构采用接入层——汇聚层——核心层的多层交换结构。 即使用接入层交换机、汇聚层交换机、核心层交换机连接校园网内各节点,用VLAN划分各部门的子网,并通过核心层交换机实现与Internet和其它网络的通信; (3)校园网内设WWW服务器、DNS服务器、TFTP服务器。使用内部IP 地址,并通过NAT转换实现与外网之间的通信; (4)使用ACL访问控制列表为校园网提供防火墙; (5)其它附加功能(如:拨号入网、热备份路由等)。。 本文通过以下内容的实现设计了校园网 ●配置Cisco交换机 ●配置VLAN ●Cisco VTP ●STP生成树协议. ●WLAN ●配置单个的路由器 ●配置静态路由 ●Cisco EIGRP路由协议 ●路由器实现Vlan间通信 ●PPP ●帧中继Frame Relay ●PAT(基于端口的NAT) ●ACL的配置 ●DHCP 配置 ●NAT ●VPN 1.2设计要求 1)校园网应具有一定的规模,节点数量和各部门的子网数量可以参照我校的实 际情况设置; 2)校园网内部结构采用接入层——汇聚层——核心层的多层交换结构。即使用 接入层交换机、汇聚层交换机、核心层交换机连接校园网内各节点,用VLAN 划分各部门的子网,并通过核心层交换机实现与Internet和其它网络的通信;

四人抢答器课程设计报告_选定

本科课程设计专用封面 设计题目: 四人抢答器 所修课程名称: 电子技术基础数字部分 修课程时间: 2012 年 9 月 26日至 12月 27日 完成设计日期: 2012 年 12月 27 日 评阅成绩: 评阅意见: 评阅教师签名: 年 月 日 ____工____学院__2010__级__电气工程及其自动化__专业 姓名_______ 学号________________ ………………………………(装)………………………………(订)………………………………(线)………………………………

四人智力竞赛抢答器 一、设计题目 四人智力竞赛抢答器 二、设计任务与要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”。选手抢答时,数码显示选手组号,倒计时停止,此时抢答按键无效,数码管显示数字不能改变;倒计时完成之后抢答按键被锁住,按键无效。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,抢答按键被锁住,直到主持人恢复倒数数据。参赛选手在设定时间(9秒)内抢答有效,抢答成功,定

四路抢答器(完整版)

华北科技学院 课程设计说明书 班级:电子B071 :郭亚立 设计题目:四路智能抢答器 设计时间: 2010.1.9 至 2010.1.22 学号: 8 指导教师:杜志伟 评语: 评阅成绩:评阅老师:

四路抢答器设计报告 目录 一、设计任务和要求:...................................................... - 3 - 1.1设计任务 (3) 1.2设计要求 (3) 二、设计方案的选择与论证.................................................. - 4 - 2.1方案的选择、论证 (4) 2.2设计总方案 (4) 三、电路设计计算与分析..................................................... - 5 - 3.1抢答器控制电路设计 (5) 3.1.1 优先编码器 74LS148................................................ - 7 - 3.1.2 锁存器74LS279.................................................... - 9 - 3.1.3 74LS121单稳态触发器:.......................................... - 10 - 3.2定时时间电路的设计 (10) 3.2.1 计数器74LS192................................................... - 12 - 3.3控制电路和报警电路 (13)

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

相关文档
最新文档