数字电子课程设计 数字钟

合集下载

数电课程设计数字电子钟.

数电课程设计数字电子钟.

数字电子技术课程设计数字电子钟指导老师:小组成员:目录摘要 (3)第一节系统概述 (4)第二节单元电路设计与分析 (6)第三节电路的总体设计与调试 (11)第四节设计总结 (13)附录部分芯片功能参数表 (14)参考文献 (17)摘要数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。

它的计时周期为24小时,显示满刻度为23时59分59秒。

一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。

由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。

本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。

通过采用各种集成数字芯片搭建电路来实现相应的功能。

具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。

该电路具有计时的功能。

在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。

实验证明该设计电路基本上能够符合设计要求!关键词振荡器、计数器、译码显示器、Multisim第一节系统概述数字电子钟是由多块数字集成电路构成的,其中有振荡器,分频器,校时电路,计数器,译码器和显示器六部分组成。

振荡器和分频器组成标准秒信号发生器,不同进制的计数器产生计数,译码器和显示器进行显示,通过校时电路实现对时,分的校准。

1.1实验目的1).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;2).进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;3).提高电路布局﹑布线及检查和排除故障的能力;4).培养书写综合实验报告的能力。

1.2 主要内容熟悉Multisim10.0仿真软件的应用;设计一个具有显示、校时、整点报时和定时功能的数字时钟,.能独立完成整个系统的设计;用Multisim10.0仿真实现数字时钟的功能。

1.3 系统设计思路与总体方案数字时钟基本原理的逻辑框图如下所示:系统方框图1由上图可以看出,振荡器产生的信号经过分频器作为产生秒脉冲,秒脉冲送入计数器,计数结果经过“时”、“分”、“秒”,译码器,显示器显示时间。

数字电子钟设计(电子集成专业类课程设计)

数字电子钟设计(电子集成专业类课程设计)

电子线路课程设计——数字时钟的设计与制作一、设计目标1.通过这次课程设计,进一步熟悉和掌握数电和模电知识,掌握multisim仿真软件的使用。

2.学习数字时钟的硬件设计原理,熟练各种电路应用。

3.培养独立分析问题和解决问题的能力和创新思维。

二、设计功能要求(1)时的技术要求为“24翻1”,分和秒的要求为60进制进位(2)准确计时,以数字形式显示时,分,秒的时间(3)具有校时功能,可以分别对时及分进行单独校对,能校正到标准时间(4)拓展功能:整点报时三、数字钟电路系统工作原理1.数字钟的构成石英晶振为主要部件的振荡器、分频器、计数器、校时电路、数码显示、整点报时电路。

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。

由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路。

同时标准的1HZ时间信号必须做到准确稳定。

通常使用石英晶体振荡器电路构成数字钟。

2.电路设计框图如下由图可见:本数字钟电路主要由振荡器,分频器,校时电路,时分秒计数器,译码显示器及整点报时电路构成。

3、工作原理①振荡电路:由石英振荡器产生的32768HZ高频脉冲信号作为数字钟的时间基准。

石英晶体振荡器的特点是振荡频率准确、电路结构简单,易调整。

用反相器和石英晶体构成振荡电路如下图。

利用两非门G1和G2自我反馈,使他们工作在现行状态,然后利用石英晶体JU来控制震荡频率,同时用电容C1来作为两个非门之间的耦合。

两个非门输入和输出之间并联的电阻R1和R2作为负反馈元件,由于反馈作用很小,可以近似认为非门的输出输入压降相等,电容C2是为了防止寄生振荡。

电路图如下:仿真图如下:②分频电路:分频器的功能主要有产生标准秒脉冲信号和提供功能扩展电路所需的信号。

(共经过15级2分频集成电路)我们实验用的是CD4060、74LS74,其中CD4060是14级分频器,将石英晶振的高频变为二分频,74LS74是D触发器,可以用作二分频。

数电课设-数字钟

数电课设-数字钟

数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。

2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。

3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。

4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。

二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。

2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。

3、用LCD液晶屏来显示当前时间及功能模式。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。

数电课程设计-数字电子钟PPT课件

数电课程设计-数字电子钟PPT课件
5
3 设计方案的选择与论证
数字电子钟系统框图如下:
图3.1 数 字 电 子 钟 系 统 框 图
6
3 设计方案的选择与论证
3.1) 时间脉冲产生电路
振荡器是数字钟的核心。振荡器的稳定度及频率的 精确度决定了数字钟计时的准确程度。
由集成逻辑门与RC组成的时钟源振荡器或由集成 电路定时器555与RC组成的多谐振荡器作为时间 标准信号源。
本实验中采用4040来构成分频电路。CD4040计数 为最高为12级2进制计数器,可以将32767HZ的信 号先分频为8HZ,再分为1HZ的信号。如图4.1所示 ,可以直接实现振荡和分频的功能。
16
4 电路设计计算与分析
4.2) 时、分、秒计数器
数字钟的计数电路用两个六十进制计数电路和24进 制计数电路实现的。
数字电子钟设计目的数字电子钟设计目的设计任务和要求设计任务和要求设计方案的选择与论证设计方案的选择与论证电路设计计算与分析电路设计计算与分析元器件明细表元器件明细表11掌握数字钟的设计掌握数字钟的设计22熟悉集成电路的使用方法熟悉集成电路的使用方法11显示显示时时分分秒22可以可以2424小时制或小时制或1212小时制小时制
本设计校时电路是将各个位上的使能端引出接一个 单刀双掷开关,一端(1端)接低位的进位信号,另 一端(2端)接校时电路。校正某位上的时间时,可 以将相应位的开关接到2端,通过拨动校时电路就能 实现校时功能。
12
3 设计方案的选择与论证
3.5) 整点报时电路
一般时钟都应具备整点报时电路功能,即在时间出 现整点前数秒内,数字钟会自动报时,以示提醒。
J2是时校正开关。不校正时,J2开关是连接上面的 ,即连接正常计数。当校正时位时,首先截断正常的 计数通路,然后再进行人工出触发计数加到需要校正 的计数单元的输入端,校正好后,再转入正常计时状 态即可。

数电课程设计电子钟

数电课程设计电子钟

数电课程设计电子钟一、课程目标知识目标:1. 让学生掌握数字电路基础知识,理解电子钟的工作原理。

2. 使学生了解并掌握电子钟各组成部分的功能及相互关系。

3. 培养学生运用数字电路知识分析、设计简单电子系统的能力。

技能目标:1. 培养学生运用所学知识,设计并搭建电子钟的能力。

2. 培养学生运用电子仪器、设备进行测试、调试和故障排查的能力。

3. 培养学生团队协作、沟通表达及解决问题的能力。

情感态度价值观目标:1. 培养学生对电子技术产生兴趣,激发学生学习积极性。

2. 培养学生严谨的科学态度和良好的实验习惯。

3. 培养学生具备创新意识和实践能力,增强学生对我国电子科技发展的自豪感。

课程性质分析:本课程属于电子技术课程,通过设计电子钟,使学生将所学数字电路知识应用于实际项目中,提高学生的实践能力。

学生特点分析:学生具备一定的数字电路基础知识,具有较强的动手能力和探究欲望,对实际应用场景感兴趣。

教学要求:结合学生特点,注重理论与实践相结合,培养学生的动手能力、创新能力和团队协作能力。

通过课程目标分解,实现对学生知识、技能和情感态度价值观的全面提升。

二、教学内容1. 数字电路基础知识回顾:逻辑门、组合逻辑电路、时序逻辑电路等。

2. 电子钟工作原理:振荡器、分频器、计数器、显示电路等。

3. 电子钟各组成部分功能及相互关系:晶振、分频器、秒、分、时计数器、显示驱动等。

4. 电子钟设计流程:需求分析、电路设计、仿真测试、硬件搭建、调试优化等。

5. 教学大纲:(1)第一周:回顾数字电路基础知识,介绍电子钟工作原理及各部分功能。

(2)第二周:分析电子钟各组成部分的相互关系,讲解设计流程。

(3)第三周:分组讨论,确定设计方案,进行电路设计和仿真测试。

(4)第四周:硬件搭建,进行调试和优化,确保电子钟正常工作。

6. 教材章节及内容:(1)第四章:数字电路基础,涉及逻辑门、组合逻辑电路等。

(2)第五章:时序逻辑电路,涉及计数器、寄存器等。

数电课程设计数字钟

数电课程设计数字钟

数电课程设计数字钟一、课程目标知识目标:1. 理解数字钟的基本原理和组成,掌握数字电路基础知识;2. 学会运用组合逻辑电路设计数字钟的时、分、秒显示部分;3. 掌握数字钟的计时功能,了解其工作过程和调试方法;4. 了解数字钟在实际应用中的优势,如精确度、稳定性等。

技能目标:1. 能够运用所学知识,设计并搭建一个简单的数字钟电路;2. 培养动手实践能力,学会使用相关仪器、工具进行电路搭建和调试;3. 提高问题解决能力,能够分析并解决数字钟运行过程中出现的问题;4. 学会团队协作,与他人共同完成课程设计任务。

情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识;2. 培养学生的耐心、细心和责任心,养成良好的学习习惯;3. 引导学生关注科技发展,认识数字技术在实际生活中的应用;4. 培养学生的环保意识,注意电子垃圾的处理和回收。

课程性质:本课程为实践性较强的课程,注重培养学生的动手能力和实际操作技能。

学生特点:学生已具备一定的数字电路基础知识,具有较强的求知欲和动手欲望。

教学要求:结合课程性质和学生特点,采用理论教学与实践操作相结合的方式,注重启发式教学,引导学生主动参与课程设计过程,提高学生的实践能力和创新能力。

通过课程目标的分解,确保学生能够达到预定的学习成果,为后续的教学设计和评估提供依据。

二、教学内容1. 数字钟原理及组成- 了解数字钟的基本工作原理- 掌握数字钟的各个组成部分,如振荡器、分频器、计数器、显示电路等2. 组合逻辑电路设计- 学习组合逻辑电路的设计方法- 应用组合逻辑电路设计数字钟的时、分、秒显示部分3. 数字电路基础知识- 复习数字电路基础知识,如逻辑门、触发器、计数器等- 了解不同类型数字电路的特点和应用4. 数字钟电路搭建与调试- 学习数字钟电路的搭建方法- 掌握数字钟电路的调试技巧,分析并解决常见问题5. 教学内容安排与进度- 第一周:数字钟原理及组成,数字电路基础知识复习- 第二周:组合逻辑电路设计,数字钟显示部分设计- 第三周:数字钟电路搭建,初步调试- 第四周:数字钟电路调试,优化与改进6. 教材章节及内容列举- 教材第三章:数字电路基础- 教材第四章:组合逻辑电路- 教材第五章:时序逻辑电路- 教材第六章:数字钟设计与实践教学内容科学、系统,注重理论与实践相结合,以学生动手实践为主,充分调动学生的积极性,培养实际操作能力。

数字电子钟课程设计

数字电子钟课程设计

数字电子钟 课程设计一、课程目标知识目标:1. 学生能理解数字电子钟的基本原理,掌握其组成结构,包括时钟芯片、数字显示管等;2. 学生能掌握数字电子时钟的电路连接方式,了解各部分功能及相互关系;3. 学生能运用所学知识分析并解决数字电子钟在实际应用中出现的问题。

技能目标:1. 学生能运用所学知识设计简单的数字电子钟电路,具备实际操作能力;2. 学生能通过查阅资料、合作交流等方式,提高自主学习能力和团队协作能力;3. 学生能运用数字电子钟的设计原理,进行创新设计,提高创新能力。

情感态度价值观目标:1. 学生对电子技术产生兴趣,树立学习信心,培养勇于探索、积极进取的精神;2. 学生认识到数字电子钟在生活中的广泛应用,了解科技发展对人类生活的影响,增强社会责任感;3. 学生在设计和制作过程中,培养耐心、细致的工作态度,提高审美观念。

本课程针对初中年级学生,结合电子技术学科特点,注重理论与实践相结合。

在教学过程中,关注学生个体差异,充分调动学生的主观能动性,培养其创新思维和实际操作能力。

通过本课程的学习,使学生能够将所学知识应用于实际生活,提高综合素养。

二、教学内容1. 数字电子钟原理及组成- 时钟芯片工作原理- 数字显示管工作原理- 数字电子钟的组成结构及功能2. 数字电子钟电路设计- 电路连接方法- 各组成部分的选型与参数- 电路图的绘制与解读3. 数字电子钟编程与调试- 基本编程知识- 编程控制数字显示- 电路调试与故障排查4. 数字电子钟的创新设计- 创新设计理念与方法- 功能拓展与优化- 设计实例分析教学内容依据课程目标,结合教材相关章节,按照以下进度安排:第一课时:数字电子钟原理及组成第二课时:数字电子钟电路设计第三课时:数字电子钟编程与调试第四课时:数字电子钟的创新设计在教学过程中,注重理论与实践相结合,引导学生通过观察、实践、思考,掌握数字电子钟的设计与应用。

同时,鼓励学生进行创新设计,提高其解决问题的能力和创新思维。

数字电子钟课程设计

数字电子钟课程设计
数字电子钟课程设计
一、教学内容
本节“数字电子钟课程设计”依据《电子技术》教材第九章“数字电路应用”的内容进行设计。主要内容包括:
1.数字电子钟的原理与设计:介绍数字电子钟的基本工作原理,引导学生了解时钟信号的产生、分频电路、计数器、显示电路等组成部分。
2. 555定时器应用:讲解555定时器在数字电子钟中的作用,如如何产生稳定的时钟信号。
21.信息技术应用:教授学生如何利用现代信息技术,如互联网资源、在线仿真工具等,来辅助学习和解决实际问题,提高学生的信息素养。
22.教学反馈收集:在课程结束后,收集学生对课程内容、教学方式、实践环节等方面的反馈,以利于教师不断优化教学方法和提升教学质量。
6.实际制作与测试:引导学生动手制作数字电子钟,并进行功能测试与优化。
2、教学பைடு நூலகம்容
7.电路优化与改进:探讨如何优化电子钟电路设计,包括降低功耗、提高显示清晰度、增强电路稳定性等方面。
8.故障分析与排除:分析数字电子钟可能出现的常见故障,如显示错误、计时不准确等,并教授相应的排查与解决方法。
9.创新设计:鼓励学生对电子钟进行创新设计,如增加闹钟功能、温度显示、定时开关等,提升学生的创新能力和实践能力。
13.成果展示与评价:组织学生进行成果展示,相互评价,培养学生表达能力和批判性思维,同时教师给予总结性评价和反馈。
14.知识拓展:介绍数字电子钟在生活中的应用,以及电子时钟的最新技术发展,激发学生对电子技术领域的兴趣和探索欲。
4、教学内容
15.实践技能培养:通过实际操作,加强学生对电子元器件的识别与使用、焊接技术、电路布局与布线等实践技能的掌握。
10.课程总结:对本章内容进行回顾,强调数字电子钟各部分电路的联系与作用,巩固学生的理论知识,提升实际操作技能。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

名称 计数器
译码器 电阻 电阻 电容 电容 蜂鸣器
接地端 非门 4端与门 数码管
电源
6 元器件清单 实际元件表6.1
数量 10
7
1 1 1 1 1
描述
74LS, 74LS160D
74STD, 7448N
RESISTOR, 400Ω RESISTOR, 500Ω CAPACITOR, 1uF CAPACITOR, 10nF BUZZER, BUZZER
码器、显示器构成,‘时’显示由二十四进制计数器、译码器、显示器构 成,‘分’,‘秒’显示分别由六十进制计数器、译码器、显示器构成。其 原理框图如下图3.1
进位 进位
进位
周显示器 分显示器 秒显示器 时显示器
译码器 译码器 译码器 译码器
六十进制
七进制 二十四进制 六十进制
整点报时
振荡器
分频器
图3.1
其次是要谢谢我的同学,大家一起研究,互相解决问题,从研究中 叶学习到了许多,因为大家用的都不是一样的芯片,所以就要去思考那 个芯片的功能,各个引脚的功能,知道功能才知道怎样去级联,在大家 的互相帮助下,终于完成了我们的数字电子课程设计。
参考文献
[1] 郝波.电子技术基础:数字电子技术.西安:西安电子科技大学大学 出版社,1997 [2] 彭介华.电子技术课程设计指导.高等教育出版社,2002 [3] 高吉祥:电子技术基础实验与课程设计.电子工业出版社,2002 [4] 阎石:数字电子技术基础(第四版). 北京:高等教育出版社,2005 [5] 杨栓科:模拟电子技术基础.高等教育出版社,2003
GND
定时器
1
MIXED_VIRTUAL, 555_VIRTUAL
A1
直流电源
1
DC_POWER, 12 V
V1
7 课程设计总结
通过这次对数字电子钟的设计与制作,让我了解了电路设计的基本 步骤,也让我了解了关于数字时钟的原理与设计理念,对Mulsitim 10.0仿真软件也有了一定的了解与运用。
本次设计,让我更进一步的了解到数字钟的工作原理以及它的内部结 构。这个过程中遇到了许多问题并且通过不断的努力去解决这些问题。 在解决设计问题的同时自己也在其中有所收获。在这次课程设计中,我 学到了很多我们教科书以外的更多东西。通过网上,图书馆的资料,也 自学了一些新的芯片的有关知识,使我们了解了这些芯片的引脚功能及 使用方法。
8致谢
首先要感谢曲老师的指导,帮助了我们解决了一些问题,比如我的 这个数字钟,没有加分频电路输出的脉冲时,星期、时、分、秒的进制 都好使,都有示数,然后加上以后,半小时才走两个数,老师说这不是 电路的错误,而是和电脑CPU运行速度有关,让我从迷雾中走了出来, 曲老师帮助同学解决了一个又一个的问题,真的谢谢曲老师。
目录
中文摘要……………..…………………………………………..……….…. ………………...Ⅰ 1 设计任务描述 2
1.1 设计题目 2 1.2 设计要求 2
1.2.1 设计目的 2 1.2.2 基本要求 2 2 设计思路 2 3 设计方框图 2 4 原理图设计 3 4.1 脉冲信号产生电路 3 4.1.1 原理图 4 4.1.2波形图 4 4.1.3参数计算 5 4.2 译码和显示电路设计 6 4.2.1译码器 6 4.2.2数码管 6 4.2.3 译码器与数码管连接原理图 6 4.3秒电路和分电路设计 6 4.4 时电路设计 7 4.5星期电路设计 8 4.6 整点报时电路 9 5 工作过程分析 10 6 元器件清单 11 7 课程设计总结 12 8 致 谢 13 参考文献 14 附 录 15 A1 逻辑电路图 15 A2 印刷电路板图 15
SEVEN_SEG_COM_K
POWER_SOURCES,
参考标识
0
U14, U29, U19, U9, U31 U13, U10
U5, U6, U25, U24, U23, U16,
U15
VCC
VCC
3端与门
1
TIL, AND3
U28
2端与门
1
TIL, AND2
U20
接地端
1
POWER_SOURCES, DGND
图4.5
4.3秒电路和分电路设计
“秒”计数器电路与“分”计数器电路都是六十进制,它由一级十进制计 数器和一级六进制计数器连接构成,如图所示,是采用两片中规模集成 电路74LS160D串接起来构成的“秒”,“分”计数器。原理如图4.6
图4.6
4.4 时电路设计
图4.7是24进制计数器,时计数器是由两74LS160计数器串连组成 的。当时的个位计数输入端进来第十个触发信号时,自动清零,进位端 RCO向十位计数器输入端输入进位信号,当时计数器已计数到23时,若 再来一个时计数脉冲,则要求个位和十位全部置“0”。
1 设计任务描述
1.1 设计题目
数字钟
1.2 设计要求
能显示时间,秒、分、时,和星期的显示。并带有整点报时电路。
1.2.1 设计目的 (1)掌握数字钟的构成、原理与设计方法; (2)熟悉集成电路的使用方法。
1.2.2 基本要求 (1)能进行秒、分、小时计时,有独立的时间显示电路; (2)整点报时电路。 (3)显示星期。
图4.9
5 工作过程分析
本次我设计的数字钟,准确地实现了对秒、分、时、星期数字显示 的计时功能,并具整点报时功能.
本系统的设计电路由信号发生模块、计数模块、钟点译码显示电路 模块、整点报时模块、等几部分组成。首先由振荡器产生稳定的高频脉 冲信号作为数字钟的时间基准,然后经过分频器输出标准的秒脉冲(振 荡器产生的振荡频率为1000Hz,用3片74LS160D进行分频后可得到1Hz 的秒脉冲信号)。秒计数器在计满60个CP(即60秒)后,其60进位输 出作为分计数器的时钟,向分计数器进位,使分计数器计数;同样,分 计数器满60个CP(即60分)后,其60进位输出再作为时计数器的时钟, 向时计数器进位,使时计数器计数;当时计数器满24时分别把进位信号 输入到星期计数器。译码显示电路将计数器的输出状态送到七段显示译 码显示器进行译码,并通过七位LED七段显示器显示出来。整点报时是 在离整点差9秒时,通过蜂鸣器产生蜂鸣,达到预期效果。
3 设计方框图
数字计时器一般是由振荡器,分频器,计数器,译码器,显示器, 等几个部分组成。其中振荡器和分频器组成标准秒信号发生器,由不同 进制的计数器、译码器和显示器组成计时系统。 秒信号送入计数器进行计数,把累计的结果以‘星 期’,‘时’,‘分’,‘秒’的数字显示出来。‘星期’显示由七进制计数器、译
第一分频器后波形图4.2:
接第二分频器后波形图4.3:
图4.2
图4.3 接第三分频器后波形图4.4:
图4.4 4.1.3参数计算 振荡周期:T=0.7(R1+2R2)C
T=0.7(400+2*500)*0.000001=1020HZ
经过三个分频器变成1.02HZ
4.2 译码和显示电路设计
4.2.1译码器 要将“秒”、“分”、“时”、“周”的状态显示成清晰的数字符
由于自己水平有限,在本设计中所用的方案不是最好的,但我想其 中的原理是相同的,对自己的能力也得到了锻炼,认识更加深入了。使 我对已学过的电路、模电、数电等电子技术的知识有了更深一步的了 解,让我更加深刻地了解和认识到了自己的优点和不足,锻炼和培养了 自己利用已学知识来分析和解决实际问题的能力。
同时,我意识到了团队的力量是多么的大。自己困惑了许久的问题 可能被同学一语点破,大家相互讨论,设计,再讨论,表达自己不同的 观念。在整个过程中自己也得到了快乐,看见自己把上课所学的知识和 实际生活联系起来,一种小小的成就感也油然而生。
1018, U17, U8, U7, U35, U34,
U33
U3, U4, U26, U22, U21, U12,
U11
R1
R2
C1
C2
U32
虚拟元件表6.2
数量 1 5 2 7
1
描述 POWER_SOURCES,
GROUND TIL, NOT TIL, AND4
2 设计思路
数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路,一般 由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。采用 振荡器产生稳定的脉冲信号,作为数字时钟的时间基准,要求振荡频率为1HZ,为标准秒脉 冲。再由分频器分成秒时间脉冲,秒信号送入计数器进行计数,并把累 计的结果以“周”、“时”、“分”、“秒”的数字显示出 来。“秒”的显示由两级计数器74LS160和译码器7448组成的六十进制 计数电路实现;“分”的显示电路与“秒”相同,“时”的显示由两级 计数器74LS160和译码器7448组成的二十四进制电路来实现,“周”的 显示电路由计数器74LS160和译码器7448组成的七进制电路实现,所有 计时结果由7位数码管显示。并带有整点报时电路,使用蜂鸣器发生达到 报时效果。
流电源。 因为选择了频率为1000HZ的振荡器以提高计时器的精度而74LS160
计数器所需要的是1HZ的标准秒脉冲信号,这时就需要用分频器对振荡 器的固有频率进行分频。选用中规模计数器74LS160就可以完成上述功 能。
4.1.1 原理图 图4.1为数字钟震荡与分频相连接
4.1.2波形图
图 4.1
4 原理图设计
4.1 脉冲信号产生电路
多谐振荡器是一种能产生矩形波的自激振荡器,也称矩形波发生 器。“多谐”指矩形波中除了基波成分外,还含有丰富的高次谐波成 分。多谐振荡器没有稳态,只有两个暂稳态。在工作时,电路的状态在 这两个暂稳态之间自动地交替变换,由此产生矩形波脉冲信号,常用作 脉冲信号源及时序电路中的时钟信号。要求精确的时钟源是通过555定 时器(LM555CN)实现多谐振荡,需要R1,R2和电容,并接+5V的直
相关文档
最新文档