数字钟设计报告——数字电路实验报告

数字钟设计实验报告

专业:工程技术系

班级:电信0901班

姓名:XX

学号:XXXXXX

数字钟的设计

目录

一、前言 (3)

二、设计目的 (3)

三、设计任务 (3)

四、设计方案 (3)

五、数字钟电路设计原理 (4)

(一)设计步骤 (4)

(二)数字钟的构成 (4)

(三)数字钟的工作原理 (5)

六、总结 (9)

七、附录 (10)

一、前言

数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,以其显示的直观性、走时准确稳定而受到人们的欢迎,广泛用于个人家庭、车站、码头、办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便,已成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体与 555 振荡器的广泛应用,使得数字钟的精度远远超过老式钟表,钟表的数字化给人们生产生活带来了极人的方便,而目大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、通断动力设备、以及各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。

二、设计目的

1.掌握数字钟的设计方法。

2熟悉集成电路的使用方法。

3通过实训学会数字系统的设计方法;

4通过实训学习元器件的选择及集成电路手册查询方法;

5通过实训掌握电子电路调试及故障排除方法;

6熟悉数字实验箱的使用方法。

三、设计任务

设计一个可以显示时、分、秒的数字钟。要求:

1、24小时为一个计数周期;

2、具有校时功能;

3、具有整点报时功能;

4、主要采用中小规模集成电路完成设计;

5、电源电压+5V。

四、设计方案

一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、分、秒、”计数器、译码器及显示器、电路组成。

首先构成一个由32768Hz的石英晶体振荡器和由CD4060构成的分频器构成的产生震荡周期为一秒的标准秒脉冲,由74LS161采用清零法分别组成六十进制的秒计数器、六十进制分计数器、二十四进制时计数器和七进制的周计数器。使用由32768Hz

的石英晶体振荡器和由CD4060构成的分频器构成的产生震荡周期为一秒的标准秒脉冲,把秒计数器地进位输出作为分计数器的CP脉冲,分计数器的进位输出作为时计数器的CP脉冲,时计数器的进位输出作为周计数器的CP脉冲。使用74LS48为驱动器, BS201A数码管作为显示器。

五、数字钟电路设计原理

(一)设计步骤

1、设计一个精准的秒脉冲产生电路;

2、设计60进制、24进制计数器;

3、设计译码显示电路;

4、设计校时电路;

5、设计整点报时电路。

(二)数字钟的构成

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。下图为数字钟的构成框图。

1.石英晶体振荡器

石英晶体本身并非振荡器,它只有借助于有源激励和无源电抗网络方可产生振荡。晶体的频率(基频或n次谐波频率)及其温度特性在很大程度上取决

于其切割取向。

振荡器是数字钟的核心,石英晶体振荡器的特点是振荡的频率准确,电路结构简

单,频率易于调整。

石英晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz 的方波信号,

可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

2.分频器

在数字电路中,分频器是一种可以进行频率变换的电路,其输入、输出信号是频

率不同的脉冲序列。输入、输出信号频率的比值称为分频比。例如,2分频器的输出信号频率是输入信号频率的2

1,8分频器的输出信号频率是输入信号频率的81 。 分频器电路将32768Hz 的高频方波信号经32768(152)次分频后得到1Hz 的方

波信号供秒计数器进行计数。分频器实际上也就是计数器。

3.计数器

在数字钟电路中,时间计数电路由秒个位和秒十位计数器、分个位和分十位计数

器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器,周计数器为7进制计数器。有了时间标准“秒”信号后,就可以根据“60秒为1分”、“60分为1小时”、“24小时为1天”、“7天为1周”的计数周期,分别组成。将这些计数器适当连接,就可以实现“秒”、“分”、“时”、“周”的计时功能。

4.译码器

要将“秒”、“分”、“时”、“周”的状态显示成清晰的数字符号,就需要将计数器

的状态经译码器进行译码,并通过显示器将其显示出来。译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。

5.数码管

数码管通常有发光二极管(LED )数码管和液晶(LCD )数码管,本设计提供的为

LED数码管。

(三)数字钟的工作原理

1.本次课程设计中采用CD4060来构成分频电路。CD4060在数字集成电路中可实

现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便。

CD4060计数器为14级2进制计数器,可以将32768HZ 的信号分频为2HZ ,其内部框图如图所示,从图中可以看出,CD4060的时钟输入端两个串接的非门,因此可以直接实现振荡和分频的功能。

要得

到1Hz的秒信号,可以将2Hz的信号输入到2分频电路中,本次课程设计采用74LS74

D触发器作为2分频电路,从输出端可得到1Hz的秒脉冲。

秒脉冲电路如上图所示。

如上图所示,电路由14级二进制串行计数器CC4060和晶体、电阻及电容构成。

CC4060内部所含的门电路和外接元件构成振荡频率为32768Hz的振荡器。经计数器作

14级分频后得到频率为1Hz(周期为1s)的脉冲。

2.计数器电路

a.六十进制计数。

秒计数器的电路形式很多,一般都是由一级十进制计数器和一级六进制计数器组

成。

下图所示是用两块中规模集成电路74LS161按反馈置零法串接而成。秒计数器的

十位和个位,输出脉冲除用作自身清零外,同时还作为“分”计数器的输入信号。分

计数器电路与秒计数器相同。

b.二十四进制计数。下图所示为二十四进制小时计数器,是用两片74LS161组成的。

3.译码和显示电路

计数器实现了对时间的累计以8421BCD码形式输出,选用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,选用74LS247作为显示译码电路,选用LED七段数码管作为显示单元电路。

4.校准电路

数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。即为用COMS与或非门实现的时或分校时电路,In1端与低位的进位信号相连;In2端与校正信号相连,校正信号可直接取自分频器产生的1H Z或2H Z(不可太高或太低)信号;输出端则与分或时个位计时输入端相连。当开关打向下时,因为校正信号和0相与的输出为0,而开关的另一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态;当开关打向上时,情况正好与上述相反,这时校时电路处于校时状态。

实际使用时,因为电路开关存在抖动问题,所以一般会接一个RS触发器构成开关消抖动电路,所以整个较时电路就如图

5.报时电路

整点报时电路图

电路应在整点前10秒钟内开始整点报时,即当时间在59分50秒到59分59秒期间时,报时电路报时控制信号。

当时间在59分50秒到59分59秒期间时,分十位、分个位和秒十位均保持不变,分别为5、9和5,因此可将分计数器十位的QC和QA、个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号。

报时电路可选74HC30来构成。74HC30为8输入与非门。

六、总结

(一)遇到的问题及解决

在连接六十进制的进位及二十四进制的接法中,要求熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了.

在设计电路中,输出不一定是从Vo端口输出,例如六十进制的输出就不是从Vo输出,而是从与非门的输出接反向器74LS00输出来作为下一个计数的输入脉冲。再就是注意有极性电容器其“+”与“-”极不能接错,例如电容器上的标记方向要易看可见。

(二)设计体会

通过这次对数字钟的设计与制作,让我了解了设计电路的程序,也让我了解了关于数字钟的原理与设计理念,加强了我们动手、思考和解决问题的能力。认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。

设计也是一个团队的任务,一起工作可以让我们有说有笑,相互帮助,配合默契,大学里一年的相处还赶不上这十来天的合作,我感觉我和同学们之间的距离更加近

了。团队需要个人,个人也离不开团队,必须发扬团结协作的精神。团结协作是成功的一项非常重要的保证。

对我们而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。通过这次课程设计,我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际运用能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

这次课程设计终于顺利完成了,在设计中遇到了很多专业知识问题,最后在老师的辛勤指导下,终于迎刃而解。同时,在老师的身上我们也学到很多实用的知识,在此表示感谢!同时,对给过我帮助的所有老师和同学表示衷心的感谢!

七、附录

(一)用到的元器件

1.14分频器 CD4060 一个

2.十六进制计数器 74LS161 6片

3.七段译码器 74LS247 6片

4.四—2输入与非门 74LS00 2片

5.四—2输入与门 74LS08 2片

6.数码显示管 LED 6个

7.晶体振荡器 32768Hz 一个

8.电阻 22M 一个

9.电阻 10k 二个

10.电阻 1k 一个

11.电阻 51 一个

12.电容 22pf 两个

13.可变电容 3—20pF 一个

14.电源 5V 一个

15.三极管8050 一个

16.蜂鸣器一个

(二)部分集成电路内部结构引脚图

欢迎您的下载,

资料仅供参考!

致力为企业和个人提供合同协议,策划案计划书,学习资料

等等

打造全网一站式需求

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:工程技术系 班级:电信0901班 姓名:XX 学号:XXXXXX

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 七、附录 (10)

一、前言 数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,以其显示的直观性、走时准确稳定而受到人们的欢迎,广泛用于个人家庭、车站、码头、办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便,已成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体与 555 振荡器的广泛应用,使得数字钟的精度远远超过老式钟表,钟表的数字化给人们生产生活带来了极人的方便,而目大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、通断动力设备、以及各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示时、分、秒的数字钟。要求: 1、24小时为一个计数周期; 2、具有校时功能; 3、具有整点报时功能; 4、主要采用中小规模集成电路完成设计; 5、电源电压+5V。 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、分、秒、”计数器、译码器及显示器、电路组成。 首先构成一个由32768Hz的石英晶体振荡器和由CD4060构成的分频器构成的产生震荡周期为一秒的标准秒脉冲,由74LS161采用清零法分别组成六十进制的秒计数器、六十进制分计数器、二十四进制时计数器和七进制的周计数器。使用由32768Hz

数字电路实验报告

实验6 数字电子钟的设计 一、实验目的 1、学会综合运用常用电路单元设计数字系统 2、学会组装调试技术 二、实验原理 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为12小时,显示满刻度为12时59分59秒,另外有报时功能。因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器、报时电路和振荡器组成。干电路系统由秒信号发生器、“时、分、秒”计数器、译码器及显示器、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发现胡一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用12进制计时器,可实现对12小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态菁七段显示译码器译码,通过六位LED 七段显示器显示出来。 1、振荡器 振荡器的作用是产生时间标准信号。数字钟的精度就是主要取决于时间标准信的频率和稳定度。 2、计数器 根据计数周期分别组成两个60进制(秒、分)和一个12进制(时)的计数器。把它们适当连接可以构成秒、分、时的计数,实现计时功能。 3、译码和数码显示电路 译码和数码显示电路是将数字钟的计时状态直观清晰地反映出来。可被人们

的视觉器官所接受。显示器件选用LED七段数码管。在译码显示电路输出信号的驱动下,显示出清晰直观的数字符号。 4、原理框图 5、实现方案 自行选择芯片,例如74LS90、74LS192、74LS160、74LS161、晶振等常用数字电路集成电路,来完成电路的设计与调试,并最终完成设计。 三、实验设备与器件 数字电路实验装置,74LS192、74LS160、74LS161、晶振等常用数字电路集成电路,常用仪器,电脑,EWB软件等。 四、实验内容 1、自选常用数字集成电路设计数字电子钟。要求实现基本功能: ①准确计时,以数字形式显示时、分、秒的时间 ②小时的计时要求为“12 翻1”,分和秒的计时要求为 60 进位

数字电路电子钟设计实验报告

数字电路电子钟设计实验报告 目录 1.实验目的 2.实验题目描述和要求 3.设计报告内容 3.1实验名称 3.2实验目的 3.3实验器材及主要器件 3.4数字电子钟基本原理 3.5数字电子钟制作与调试 3.6数字电子钟电路图 3.7数字电子钟的组装与调试 4.实验结论 5.实验心得

1.实验目的 ※掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; ※进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; ※提高电路布局﹑布线及检查和排除故障的能力; ※培养书写综合实验报告的能力。 2.实验题目描述和要求 (1)数字电子钟基本功能 数字电子钟是一个大众化产品,一般来讲应具有以下基本功能。 ①能进行小时、分、秒显示。 ②能进行小时、分、秒设置。 ③能实现整点报时。 ④能通过设置,实现任意时间报时。 (2)数字电子钟基本性能 一个实用的数字电子钟应满足三个“度”:精度、亮度和响度。 ①精度是指显示的时间必须准确。 ②亮度是指显示的时间必须让人看得清楚。 ③响度是指报时的声音必须清脆有力。 (3)数字电子钟用于教学设计时必须考虑的因素 从教学角度来看,数字电子钟的设计应考虑以下几点。 ①数字电路可由多种不同方案实现,在方案比较时应着重考虑所选

用的方案在设计时能否把数字电路包含的主要知识全部囊括进去。 ②应把数字电子钟分解成若干个模块,并在印制电路板设计时把各 模块固定在不同的区域。 ③应确保大多数学生能在规定时间内完成制作与调试。 ④数字电子钟印制电路板(PCB)设计时除留下足够的训练内容让学 生完成外,应设计一标准印制电路板设计示范区。 (4)本教材设计的数字电子钟总体方案 根据以上分析,本教材把数字电子钟分解为信号电路、显示电路、计时电路、校时电路和报时电路五个功能相对独立的模块(如图8-1 所示),采用如图8-2所示的设计方案,并按要求实施时参照一下规定进行。 ①各模块的制作、调试按显示电路、信号电路、计时电路、校时电 路和报时电路的顺序进行。 ②计时电路中的小时计数器为24进制或12进制。 ③校时电路设计为校时信号统一从计时电路的秒输入端输入,这样

数字钟实验报告

数字钟实验报告 引言: 数字钟是一种使用数字显示时间的时钟,它已经成为我们日常 生活中不可或缺的一部分。通过数字钟,我们可以准确地了解当 前的时间,从而更好地安排自己的生活。本实验旨在探究数字钟 的原理和制作过程,并通过实际的制作过程加深对数字钟的了解。 一、原理介绍 数字钟的原理基于电子技术和计时器的结合。其中,主要包括 以下几个部分:时钟芯片、数码管、控制电路以及电源等。 1.时钟芯片:时钟芯片是数字钟的核心部件,它内置了计时器 和时钟功能。通过时钟芯片,我们可以实现时间的自动更新和准 确显示。 2.数码管:数码管是数字钟的显示部分,它由数根发光二极管 组成,能够显示0-9的数字。通过不同的控制电流和电压,数码管可以根据时钟芯片的指令来显示相应的数字。

3.控制电路:控制电路是连接时钟芯片和数码管之间的桥梁, 它负责将时钟芯片输出的信号转换为数码管可识别的信号。控制 电路可以通过编码器、解码器和集线器等元件来实现。 4.电源:电源为数字钟提供所需的电能,将电能转换为供时钟 芯片和数码管正常工作所需的电流和电压。 二、实验准备 在进行实验之前,我们需要准备以下实验器材:晶体管、电阻器、电容器、发光二极管、电线、焊接工具等。 1.选择晶体管:在制作数字钟的过程中,我们需要选择合适的 晶体管来实现数字的显示。常见的晶体管有阳极、阴极共阳、阴 极共阴等。根据所需的显示效果选择不同类型的晶体管。 2.电阻器和电容器:电阻器和电容器是控制电路的重要组成部分,它们能够限制电流和调节电压,从而保证数字钟的正常工作。 3.焊接工具:焊接工具是将各个器材连接在一起的关键。使用 焊接工具进行焊接时,需要注意操作安全,确保焊点牢固。

数电课程实验报告——数字钟的设计

. 《数字电子技术》课程设 计报告 设计题目: 数字钟 班级学号:1407080701221 1407080701216 1407080701218 学生:志强企海清 指导教师:周玲 时间:2016.6.15-2016.6.16

《数字电子技术》课程设计 一、设计题目:数字钟的设计 一、设计任务与要求: 1.时钟显示功能,能够以十进制显示“时”、“分”、“秒”。其中时为24进制,分秒为60进制。 2. 其他功能扩展: (1)设计一个电路实现时分秒校准功能。 (2)闹钟功能,可按设定的时间闹时。 (3)设计一个电路实现整点报时功能等。在59分51秒、53秒、55秒、57秒输出750Hz 音频信号,在59分59秒时输出1000Hz信号,音频持续1s,在1000Hz荧屏结束时刻为整点。 二、设计方案: 数字电子钟由石英晶体振荡器、分频器、计数器、译码器显示器和校时电路组成。振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。计数器的输出分别经译码器送显示器显示。计时出现误差时,可以用校时电路校时、校分。 三、芯片选定及各单元功能电路说明: 实验器材及主要器件 (1)CC4511 6片 (2)74LS90 5片 (3)74LS92 2片 (4)74LS191 1片 (5)74LS00 5片 (6)74LS04 3片

(7)74LS74 1片 (8)74LS2O 2片 (9)555集成芯片1片 (10)共阴七段显示器6片 (11)电阻、电容、导线等若干 ①振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 一般来说,般来说,振荡器的频率越高,计时精度越高,但耗电量将增大。如果精度要求不高也可以采用由集成电路定时器555与RC组成的多谐振荡器。如图1所示。设振荡频率f=1KHz,R为可调电阻,微调R1可以调出1KHz输出。 图1 ②分频器 由于振荡器产生的频率很高,要得到秒脉冲,需要分屏电路。本实验由集成电路定时器555与RC组成的多谐振荡器,产生1KHz的脉冲信号。故采用3片中规模集成电路计数器74LS90来实现,得到需要的秒脉冲信号。

eda数字钟实验报告

eda数字钟实验报告 EDA数字钟实验报告 本次实验旨在设计并实现一个EDA数字钟。通过这个实验,我们将学习如何使用EDA工具来设计数字电路,并通过实际的电路实现来验证我们的设计。 1. 实验背景 数字钟是我们日常生活中常见的设备之一。它不仅可以显示时间,还具有闹钟等功能。在这个实验中,我们将使用EDA工具来设计一个数字钟电路,并通过FPGA实现这个电路。 2. 实验目标 本次实验的目标是设计一个能够显示小时、分钟和秒的数字钟电路。我们将使用七段数码管来显示这些信息,并通过按键来设置时间和闹钟。 3. 设计思路 我们的设计思路如下: 3.1 时钟模块 我们首先需要设计一个时钟模块,用来产生一个固定的时钟信号。我们可以使用FPGA的时钟模块来实现这个功能,或者使用外部的晶振电路。 3.2 数码管驱动模块 接下来,我们需要设计一个数码管驱动模块,用来将数字转换为七段数码管的显示信号。我们可以使用查找表或者逻辑门电路来实现这个功能。 3.3 时间设置模块 为了能够设置时间,我们需要设计一个时间设置模块。这个模块可以通过按键来设置小时、分钟和秒。

3.4 闹钟设置模块 类似于时间设置模块,我们还需要设计一个闹钟设置模块。这个模块可以通过按键来设置闹钟的小时和分钟。 3.5 主控制模块 最后,我们需要设计一个主控制模块,用来控制时钟、数码管驱动、时间设置和闹钟设置模块之间的交互。这个模块可以根据设置的时间和闹钟来控制数码管的显示。 4. 电路实现 根据我们的设计思路,我们使用EDA工具来实现我们的数字钟电路。我们使用VHDL语言来描述电路,并使用模块化的方式来组织我们的代码。 5. 实验结果 经过实际的电路实现和测试,我们成功地实现了数字钟电路。我们可以通过按键来设置时间和闹钟,并通过七段数码管来显示时间和闹钟。 6. 实验总结 通过这个实验,我们学习了如何使用EDA工具来设计数字电路,并通过实际的电路实现来验证我们的设计。我们深入了解了数字钟的工作原理,并学会了如何使用VHDL语言来描述电路。这个实验对我们的电路设计和数字系统的理解都有很大的帮助。 7. 参考资料 在这个实验中,我们参考了一些相关的资料和教程。这些资料包括数字钟的原理和设计方法。通过阅读这些资料,我们更好地理解了数字钟的工作原理,并能够更好地设计和实现我们的电路。

数电课程实验报告-数字钟的设计

《数字电子技术》课程设计报告 设计题目: 数字钟 班级学号:1407080701221 1407080701216 1407080701218学生姓名:谢志强陈企张海清 指导教师:周玲 时间:2016.6.15-2016.6.16 《数字电子技术》课程设计

一、设计题目:数字钟的设计 一、设计任务与要求: 1.时钟显示功能,能够以十进制显示“时”、“分”、“秒”。其中时为24进制,分秒为60进制。 2. 其他功能扩展: (1)设计一个电路实现时分秒校准功能。 (2)闹钟功能,可按设定的时间闹时。 (3)设计一个电路实现整点报时功能等。在59分51秒、53秒、55秒、57秒输出750Hz音频信号,在59分59秒时输出1000Hz信号,音频持续1s,在1000Hz荧屏结束时刻为整点。 二、设计方案: 数字电子钟由石英晶体振荡器、分频器、计数器、译码器显示器和校时电路组成。振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。计数器的输出分别经译码器送显示器显示。计时出现误差时,可以用校时电路校时、校分。 三、芯片选定及各单元功能电路说明: 实验器材及主要器件 (1) CC4511 6片 (2) 74LS90 5片 (3) 74LS92 2片 (4) 74LS191 1片 (5) 74LS00 5片 (6) 74LS04 3片 (7) 74LS74 1片 (8) 74LS2O 2片 (9) 555集成芯片 1片

(10)共阴七段显示器 6片 (11)电阻、电容、导线等若干 ①振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 一般来说,般来说,振荡器的频率越高,计时精度越高,但耗电量将增大。如果精度要求不高也可以采用由集成电路定时器555与RC组成的多谐振荡器。如图1所示。设振荡频率f=1KHz,R为可调电阻,微调R1可以调出1KHz 输出。 图1 ②分频器 由于振荡器产生的频率很高,要得到秒脉冲,需要分屏电路。本实验由集成电路定时器555与RC组成的多谐振荡器,产生1KHz的脉冲信号。故采用3片中规模集成电路计数器74LS90来实现,得到需要的秒脉冲信号。

数字钟实验报告

数字钟实验报告 数字钟电路设计1 实验报告 选课序号:22 22201*1283 电气一班王继越201*1229 大连海事大学实验报告 指导教师:王琳2 一、实验目的 1.通过数字中逻辑电路设计,掌握分频、计数、译码、显示等数字系统的设计方法; 2.熟悉N进制计数器的设计方法; 3.熟悉七段数码管结构原理及使用方法;译码驱动电路的原理及使用方法5学习中规模集成电路构成系统电路的方法二、实验内容及测试方法

1.数字钟的计时、译码及显示是用两个六十进制和一个二 十四进制计数器通过 译码器驱动和七段LED直接显示其数字,其逻辑框图如下: 十位译码个位译码十位译码个位译码十位译码个位译码24进 制计数器时校准60进制计数器分校准60进制计数器秒校准1KHZ 振荡器 功能要求: (1)时分秒基本实现 (2)整点报时功能 (3)时分秒的校准电路 (4)555实现1H时钟 (5)对1H进行三级十分频 三级十分频大连海事大学实验报告 2.NIMutiim仿真整体电路见附录现对每个模块功能作用、 电路及分析进行列举(注:因实验箱上已经将7448与共阴极

数码管连接好,且本人的设计电路未用到7448的功能管脚,故不再对译码部分进行列举和分析) VCC R2VCC1校时电路 5310Ω5V5047前级由两个与非门RS锁48存器当输入状态为11的时04149候,输出状态不变。利用这 51个原理可以消除抖动; VCCR3VCC手动校时信号和各级个 10Ω位时钟信号分别接中级的两5V个与非门悬空管脚; 后级与非门输出接时分秒的个位c进行电路仿真设计更加熟练以前都是用纸笔画好电路图来实验室连接调试再找问题,浪费了很多时间,这次实验,我花了很多时间进行电路的仿真,边仿真边设计,自己的每一个想法每一个细节都可以即时验证,节省了大量硬件调试的时间 (2)对一个系统的设计总体把握

数字钟设计实验报告

数字钟设计实验报告 数字钟设计实验报告 摘要: 本实验旨在设计一款数字钟,通过数字显示来展示当前的时间。通过对电路的搭建和编程的学习,我们成功地实现了数字钟的设计,并对其进行了测试和分析。本实验不仅提高了我们的电路设计和编程能力,还加深了我们对数字时钟原理的理解。 引言: 数字钟是一种常见的时间显示设备,广泛应用于生活中的各个领域。它不仅具备准确显示时间的功能,还可以提供多种功能,如闹钟、定时器等。本实验旨在通过设计一款数字钟,提高我们的电路设计和编程能力,并深入理解数字时钟的原理。 材料与方法: 1. Arduino开发板 2. 数码管 3. 连接线 4. 电阻 5. 电容 6. 蜂鸣器 7. 按钮 8. 电源 实验步骤:

1. 搭建电路:根据电路图连接Arduino开发板、数码管、蜂鸣器、按钮等元件,并接通电源。 2. 编写程序:使用Arduino开发环境,编写程序实现数字时钟的功能,包括时 间的获取、显示和功能的切换。 3. 上传程序:将编写好的程序上传到Arduino开发板中,使其能够执行我们设 计的功能。 4. 测试与分析:通过按下按钮,观察数码管的显示和蜂鸣器的声音,验证数字 钟的功能是否正常。 实验结果: 经过实验,我们成功地设计出了一款数字钟,并实现了以下功能: 1. 显示当前的时间:数码管能够准确地显示当前的时间,包括小时和分钟。 2. 闹钟功能:通过设置闹钟时间和闹铃声音,实现了闹钟功能,当时间到达设 定的闹钟时间时,蜂鸣器会发出声音提醒。 3. 定时器功能:可以设置定时器时间,当时间到达设定的时间时,蜂鸣器会发 出声音提醒。 4. 亮度调节:通过调节电阻,可以实现数码管的亮度调节。 讨论与分析: 在设计过程中,我们遇到了一些问题,如电路连接错误、程序逻辑错误等。通 过仔细检查和调试,我们逐步解决了这些问题,并最终成功地完成了数字钟的 设计。通过这个实验,我们不仅提高了对数字时钟原理的理解,还加深了对电 路设计和编程的掌握。 结论:

数字钟 实验报告

数字钟实验报告 数字钟实验报告 1. 引言 数字钟是一种以数字形式显示时间的装置,广泛应用于日常生活中。本实验 旨在通过搭建数字钟电路并进行实际测试,了解数字钟的工作原理和实现方式。 2. 实验材料和方法 实验材料:电路板、电子元件(集成电路、电阻、电容等)、数字显示屏、电源、万用表等。 实验方法:按照电路图连接电子元件,将数字显示屏连接到电路板上,接通 电源后进行测试。 3. 实验步骤 3.1 搭建电路 根据提供的电路图,将电子元件按照正确的连接方式搭建在电路板上。确 保连接的准确性和稳定性。 3.2 连接数字显示屏 将数字显示屏连接到电路板上的指定位置,注意极性的正确性。 3.3 接通电源 将电路板连接到电源上,确保电源的稳定输出。 3.4 进行测试 打开电源,观察数字显示屏上的显示情况。通过调整电路中的元件,如电 容和电阻的数值,观察数字显示屏上的时间变化。 4. 实验结果

在实验过程中,我们成功搭建了数字钟电路,并进行了多次测试。通过调整电路中的元件数值,我们观察到数字显示屏上的时间变化。数字钟准确地显示了当前的时间,并且实时更新。 5. 讨论与分析 通过本次实验,我们了解到数字钟的工作原理是通过电路中的集成电路和元件来控制数字显示屏的显示。数字钟的精确性和稳定性取决于电路的设计和元件的质量。在实际应用中,数字钟通常会采用更加精确的时钟芯片来保证时间的准确性。 6. 实验总结 本次实验通过搭建数字钟电路并进行测试,使我们更加深入地了解了数字钟的工作原理和实现方式。通过调整电路中的元件,我们观察到数字显示屏上的时间变化,验证了数字钟的准确性和实时性。在今后的学习和工作中,我们将更加注重电路设计和元件的选择,以提高数字钟的精确性和稳定性。 7. 参考文献 [1] 电子技术基础教程,XXX,XXX出版社,2010年。 [2] 数字电路设计与实验,XXX,XXX出版社,2015年。 8. 致谢 感谢实验室的老师和同学们对本次实验的支持和帮助。他们的耐心指导和积极讨论使本次实验取得了圆满成功。同时,也感谢电子元件供应商提供的优质元件,为实验的顺利进行提供了保障。 (注:本实验报告仅为示例,实际内容请根据实验情况和要求进行编写。)

数字电路与逻辑设计实验数字电路设计——数字钟

《数字电路与逻辑设计实验》实验报告数字钟电路设计与实物制作

数字钟电路设计与制作实验报告 一、实验目的: 1、综合应用数字电路知识; 2、学习使用protel进行电子电路的原理图设计、印制电路板设计 3、学习电路板制作、安装、调试技能。 二、实验任务及要求: 任务:设计一个12小时或24小时制的数字钟,显示时、分、秒,有校时功能,可以分别对时及分进行单独校时,使其校正到准确时间。可以根据兴趣增加其它与数字钟有关的功能。 要求:画出电路原理图,元器件及参数选择,PCB文件生成、制板 三、实验原理及电路设计: 1、设计方案与模块框图 该系统工作原理是:振荡器产生的稳定高频脉冲信号,为数字钟的时间基准,在经过分频器输出标准秒脉冲。秒计数器计满60后向频计数器进位,分计数器计满60后向时计数器进位,小时计数器按照“24翻1”的规律计数。计数器的输出经过译码器送显示器。计时出现误差时可以用校正电路进行校时、分、秒。 主体电路是有功能电路部件或单元电路组成的。数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。在其进位计数的基本功能上,同时标准的1HZ时间信号必须做到准确稳定。 数字钟的结构组成: 1)译码及显示电路单元 2)时间计数电路单元 3)校时电路单元 4)振荡电路单元 分频电路 校时电路

2、各子模块电路设计及原理说明 (1)译码驱动及显示单元选择74LS48作为显示译码电 路如图所示;选择LED数码管作为显示单元电路。由74LS48 把输进来的二进制信号翻译成十进制数字,再由数码管显示出 来。这里的LED数码管是采用共阴的方法连接的。在LT=RBI=1 的条件下,及使能输入BI/BRO=1时,锁存器不工作,译码器的 输出随输入码的变化而变化。而七段数字显示器共阴极,输入 高电平有效,发光二极管导通发亮。 (2)时间计数单元 1)秒与分的个位与十位的连线电路 ̅̅̅̅̅̅是清零端,当为 计数器芯片74L161的CLR 0时,将清零。当给计数器的秒个位CLK端施加 脉冲信号时,开始计数,输出端Q0~Q3将结果 输出给译码器。当秒个位输出结果是1010时, 一方面将Q1,Q3的高电平通过与非门后的结 结果0输送给秒十位的计数器CLK端,实现进 位,并驱动秒十位计数器工作。另一方面Q1, ̅̅̅̅̅̅上,使秒个位自动清零。由此,达到秒个位清零,并同时向十位进位Q2的高电平接到的CLR ̅̅̅̅̅̅上,使秒自的目的。同理于秒十位,当其输出端结果为0110时,其Q1,Q2的结果接到CLR 动清零,分个位进位。此时数码显示器的秒个位的数字从0变化到9,十进制状态;秒十位在个位的进位下从0变化到5,六进制状态。 2)分的进位方法同秒一样。具体如右图: 3)时个位是十进制,而十位是三进制,所以 当个位的输出端结果分别是1010,个位向十位 进位,同时当十位为0010,个位为0100时,十 位的Q1和个位的Q2高电平通过与非门后的结 ̅̅̅̅̅̅端进行清零。 结果0输送给秒十位的计数器CLR 同时个位的Q1、Q2与非和十位的清零信号通过 ̅̅̅̅̅̅端进行清零,两者同时作用,使数字到达24时而清零,实现24小两次与非,结果输给CLR 时的功能。 (3)校时电路

数字电路数字时钟课程实验报告

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一数字时钟电路框图 四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz 脉冲。 分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。其电路图如下: 译码器译码器译码器时计数器分计数器秒计数器校时电路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三 60进制--秒计数电路 60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给时的个位。其电路图如下: 图四 60进制--分计数电路 24进制——时计数电路 来自分计数电路的进位脉冲使时的个位加,个位计数器由0增加到9是产生进位,连在十位计数器脉冲输入端CP,当十位计到2且个位计到3是经过74LS11与门产生一个清零信号,将所有CD40110清零。其电路图如下:

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期: 2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴

2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想

数字时钟设计实验报告

电子课程设计题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 译码译码译码时计数 分计数 秒计数 校 时 电 路 秒信号发生器

四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz 脉冲。 分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。其电路图如下: 图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数

器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三 60进制--秒计数电路 60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给时的个位。其电路图如下:

多功能数字钟数电课程设计实验报告

(数电课程设计)实验报告 (理工类) 2021 至2021 学年度第二学期 课程名称多功能数字钟电路设计 系别班级电气系11级电子信息工程一班指导教师周旭胜 学号姓名耿王鑫1一、谷和伟12 贺焕13、黄兴荣14 解军1五、井波16 李丰17、李小飞18 梁富慧19

目录 一、设计要求及任务 ...................................................................................... 错误!未定义书签。 二、系统设计方案 ........................................................................................ 错误!未定义书签。 三、器件选择 ................................................................................................ 错误!未定义书签。 1、74LS160............................................................................................... 错误!未定义书签。 2、74LS107............................................................................................... 错误!未定义书签。 3、74LS90................................................................................................. 错误!未定义书签。 显示屏....................................................................................................... 错误!未定义书签。 四、六十进制“秒”计数器设计................................................................... 错误!未定义书签。 五、六十进制“分”计数器设计................................................................... 错误!未定义书签。 六、二十四进制“时”计数器设计............................................................... 错误!未定义书签。 七、分频网络的设计 ...................................................................................... 错误!未定义书签。 八、开关校时电路的设计............................................................................... 错误!未定义书签。 九、整点报时电路的设计............................................................................... 错误!未定义书签。 十、系统整体电路设计................................................................................... 错误!未定义书签。十一、改良意见及收成体会........................................................................... 错误!未定义书签。

数字电子钟_数电综合实验报告.

数字电子技术课程设计 实验报告 实习课题:数字电子时钟设计 学院:通信与信息工程学院班 专业:电子信息工程 学号: 姓名: 实习时间:2013-1-7 ~ 2013-1-9

一、方案论证选择 1.1设计目的 设计一种多功能数字钟,该数字钟具有基本功能和扩展功能两部分。其中,基本功能部分的有准确计时,以数字形式显示时、分、秒的时间和校时功能。扩展功能部分则具有:定时控制、仿广播电台正点报时、自动报整点时数和触摸报正点的功能。数字钟的电路也是由主体电路和扩展电路两部分构成,在电路中,基本功能部分由主体电路实现,而扩展功能部电路实现。这两部分都有一个共同特点就是它们都要用到振荡电路提供的1Hz脉冲信号。在计时出现误差时电路还可以进行校时和校分,为了使电路简单所设计的电路不具备校秒的功能。并且要用数码管显示时、分、秒,各位均为两位显示,扩展部分要有相应的响应电路。 1.2设计要求 1.用秒脉冲作信号源,构成数字钟,显示秒、分、时. 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应

的功能。具体用到了555震荡器,CD4518及与非门集成芯片等。该电路具有计时的功能。 设计要求 (1)、时钟的“时”要求用两位显示并用二十四小时制显示;(2)、时钟的“时”“分”、“秒”要求各用两位显示; 1.21 单元电路 数字电子钟的设计方法很多种,例如,可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等。 在本次设计,电路是由许多单元电路组成的,因此首先必须对各个单元电路进行设计。 1.22 主体电路部分 电路部分的电路主要由振荡电路、计数电路、显示电路这几大块组成。 一数字电子钟的基本组成框图

数字时钟设计实验报告

电子课程设计【1 】 题目:数字时钟 数字时钟设计试验陈述 一、设计请求: 设计一个24小时制的数字时钟. 请求:计时.显示精度到秒;有校时功效.采取中小范围集成电路设计. 施展:增长闹钟功效. 二、设计计划: 由秒时钟旌旗灯号产生器.计时电路和校时电路组成电路. 秒时钟旌旗灯号产生器可由振荡器和分频器组成. 计时电路中采取两个60进制计数器分离完成秒计时和分计时;24进制计数器完成时计时;采取译码器将计数器的输出译码后送七段数码管显示. 校时电路采取开关掌握时.分.秒计数器的时钟旌旗灯号为校时脉冲以完成校时.

三、电路框图: 图一 数字时钟电路框图 四、电路道理图: (一)秒脉冲旌旗灯号产生器 秒脉冲旌旗灯号产生器是数字电子钟的焦点部分,它的精度和稳固度决议了数字钟的质量.由振荡器与分频器组合产生秒脉冲旌旗灯号. ➢ 振荡器: 通经常应用555准时器与RC 组成的多谐振荡器,经由调剂输出1000Hz 脉冲. ➢ 分频器: 分频器功效重要有两个,一是产生尺度秒脉冲旌旗灯号,一是供给功效 扩大电路所须要的旌旗灯号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz 尺度秒脉冲.其电路图如下: 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒旌旗灯号产生器

图二秒脉冲旌旗灯号产生器 (二)秒.分.时计时器电路设计 秒.分计数器为60进制计数器,小时计数器为24进制计数器. ➢60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而配合完成60进制计数器.当计数到59时清零着从新开端计数.秒的个位部分的设计:应用十进制计数器CD40110设计10进制计数器显示秒的个位 .个位计数器由0增长到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功效.应用74LS161和74LS11设计6进制计数器显示秒的十位 ,当十位计数器由0增长到5时应用74LS11与门产生一个高电平接到个位.十位的CD40110的清零端,同时产生一个脉冲给分的个位.其电路图如下:

南昌大学数字钟设计实验报告讲解

《数字电路与逻辑设计实验》实验报告题目数字钟电路与PCB设计 学院:信息工程学院系电子信息工程 专业: 班级: 学号: 学生姓名: 同组同学: 指导教师: 递交日期:

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合■设计□创新实验日期:实验成绩: 数字钟电路设计与制作实验报告 一、实验目的: 1、综合应用数字电路知识; 2、学习使用protel进行电子电路的原理图设计、印制电路板设计 3、学习电路板制作、安装、调试技能。 二、实验任务及要求: 任务:设计一个12小时或24小时制的数字钟,显示时、分、秒,有校时功能,可以分别对时及分进行单独校时,使其校正到准确时间。可以根据兴趣增加其它与数字钟有关的功能。 要求:画出电路原理图,元器件及参数选择,PCB文件生成、制板及实物制作 三、实验原理及电路设计: 1、设计方案与模块框图 该系统工作原理是:振荡器产生的稳定高频脉冲信号,为数字钟的时间基准,在经过分频器输出标准秒脉冲。秒计数器计满60后向频计数器进位,分计数器计满60后向时计数器进位,小时计数器按照“12翻1”的规律计数。计数器的输出经过译码器送显示器。计时出现误差时可以用校正电路进行校时、分、秒。 主体电路是有功能电路部件或单元电路组成的。 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。在其进位计数的基本功能上,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

数字钟的结构组成: 1)译码及显示电路单元 2)时间计数电路单元 3)校时电路单元 4)振荡电路单元 2、各子模块电路设计及原理说明 译码驱动及显示单元 选择74LS48作为显示译码电路如图所示;选择LED数码管作为显示单元电路。由74LS48把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。这里的LED数码管是采用共阴的方法连接的。在LT=RBI=1的条件下,及使能输入BI/BRO=1时,锁存器不工作,译码器的输出随输入码的变化而变化。而七段数字显示器共阴极,输入高电平有效,发光二极管导通发亮

相关文档
最新文档