VHDL语言四人抢答器

VHDL语言四人抢答器
VHDL语言四人抢答器

中南林业科技大学

课程设计报告

设计名称:基于VHDL语言的四人抢答器姓名:杜涵学号:20134491 专业班级:电子信息工程一班

院(系):计算机与信息工程学院

设计时间:2015年12月8日

设计地点:东园22栋201

目录

一、设计题目………………………………………………

二、设计要求………………………………………………

三、设计目的………………………………………………

四、设计背景………………………………………………

五、使用工具………………………………………………

六、设计原理………………………………………………

七、设计结构………………………………………………

八、原理图…………………………………………………

九、模块程序………………………………………………

十、引脚分配………………………………………………十一、设计结果验证………………………………………十二、总结…………………………………………………

一、设计题目:基于VHDL语言的四路抢答器

二丶设计要求:

1、设计制作一个竞赛抢答器,每组受控于一个抢答开关,分别为S1, S2, S3, S4。

2、控制键K,用于控制整个系统清零, K=0,系统清零;抬起复位键时,K=1,抢答开始。

3、每答对一题计十分,每次答题时间不能超过60秒。

4、第一抢答者按下抢答按钮,对应的led灯点亮,同时数码管显示是第几位抢答者,并封锁其他各组的按钮,即其他任何一组按键都不会再使电路响应。与此同时,数码管开始显示60秒的倒计时。通过K键复位,开始下一轮抢答。

三、设计目的

1.通过设计竞赛抢答器的实例来体会设计实际产品的过程,在学好理论的基础上,提高动手实践能力。

2.学习怎样用vhdl语言来描述自己的设计思路,调试硬件后,能够使产品实现功能。

3.进一步掌握用vhdl语言编写数字电路。

4.在做课题过程中,掌握多位共阴极扫描显示数码管的驱动及编码,学会使用和调试硬件。

四、设计背景

现代电子产品几乎渗透了社会的各个领域EDA技术是电子设计的发展趋势,EDA工具从数字系统设计的单一领域,应用范围己涉及模拟、微波等多个领域,可实现各个领域电子系统设计的测试、设计仿真和布局布线等。设计者只要完成对电子系统的功能描述,就可利用计算机和EDA工具,进行设计处理,最终得到设计结果。随着大规模集成电路技术和计算机技术的不断发展,即使是普通的电子产品的开发,EDA技术常常使一些原来的技术瓶颈得以轻松突破,从而使产品的开发周期大为缩短、性能价格比大幅提高。不言而喻,EDA 技术将迅速成为电子设计领域中的极其重要的组成部分。从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用文泛、工具多样、软件功能强大。

抢答器是一种应用非常广泛的设备,在各种竞猜、抢答场合中,它能迅速、客观地分辨出最先获得发言权的选手。早期的抢答器只由几个三极管可控硅、发光管等组成,能通过发光管的指示辨认出选手。现在大多数抢答器均使用单片机和数字集成电路,并增加了许多新的功能,如选手号码显示、抢按器或抢按后的计时、选手得分显示等功能。

五、使用工具:装有Quartus II软件的PC、Cyclone 系列FPGA开发板(ep2c5t144c8)

六、设计原理

根据设计要求,我们经过分析应用分模块的方式比较容易设计该抢答器,我们底层应用VHDL语言,顶层应用原理图的方式进行设计,然后通过仿真验证并不断修改完善设计。

模块:

(1)四人按键抢答模块:设计为五个输入按键,其实S键为主持人控制抢答过程的按键,当有选手抢答后使其他人的按键无效。然后根据抢答成功者的编号使对应LED灯点亮,同时输出一个状态让数码管显示抢答者编号。主持人S键复位,开始下一轮抢答。

(2)计时模块:在有人抢答后开始一个60秒的倒计时,并输出道数码管显示,CLK来自1HZ分频模块。

(3)计分模块:在选手答题正确后通过外接ADD键给该选手加分,每次加十分,并输出到数码管显示,CLEAR键为清空所有选手分数。

(4)译码模块:讲选手序号,倒计时以及选手分数显示出来,CLK由1KHz分频模块提供。

(5)1HZ与1KHz分频模块:程序由FPGA板的50MHz频率编写。

七、设计结构

八、原理图:

九、模块程序

1、抢答模块

程序:

Library ieee;

Use ieee.std_logic_1164.all;

Use ieee.std_logic_unsigned.all;

Use ieee.std_logic_arith.all;

Entity QD is

Port(

s,clk:in std_logic;

s0,s1,s2,s3:in std_logic;

states:buffer std_logic_vector(3 downto 0);

T:out std_logic;

LIANG:buffer std_logic_vector(3 downto 0);

XI:buffer std_logic_vector(3 downto 0)); End QD;

Architecture aa of QD is

signal s_0,s_1,s_2,s_3,l_1,l_2,l_3,l_4:std_logic; begin

process(s0,s1,s2,s3,s,clk)

begin

XI<="1111";

if (s='0') then

s_0<='0';s_1<='0';s_2<='0';s_3<='0';LIANG<="1111";

elsif (clk'event and clk='1') then

if (s_0='1' or s_1='1' or s_2='1' or s_3='1')

then null;

elsif s0='0' then

s_0<='1';states<="0001";LIANG<="1110";

elsif s1='0' then

s_1<='1';states<="0010";LIANG<="1101";

elsif s2='0' then

s_2<='1';states<="0011";LIANG<="1011";

elsif s3='0' then

s_3<='1';states<="0100";LIANG<="0111";

else states<="0000";

end if;

end if;

T<= s_0 or s_1 or s_2 or s_3;

end process;

end aa ;

说明:S为主持人复位键;

S0,S1,S2,S3:四个抢答选手;

STATES:抢答组号输出

T:在S按下后使倒计时停止;

LIANG:控制四个LED灯,点亮选手对应LED灯;

XI:使另外四个LED灯熄灭;

2、计时模块

程序:

library ieee;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_1164.all;

entity JS is

port(clk,s,T:in std_logic;

sw,gw:buffer std_logic_vector(3 downto 0));

end JS;

architecture aa of JS is

begin

process(clk,s,T)

begin

if (s='0') then sw<="0110";gw<="0000";

elsif(clk'event and clk='1') then

if(T='0') then gw<=gw;sw<=sw;

elsif (gw="0000") then gw<="1001";

if(sw="0000") then sw<="0000";

else sw<=sw-1;

end if;

else

gw<=gw-1;

end if;

if (sw=0 and gw=0) then sw<="0000";gw<="0000"; end if;

end if;

end process;

说明:S:按下后倒计时开始;

SW:输出倒计时十位数;

GW:输出倒计时百位数

3、计分模块

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY JFQ IS

PORT(RST: IN STD_LOGIC;

ADD: IN STD_LOGIC;

CHOS: IN STD_LOGIC_VECTOR(3 DOWNTO 0); OUT1: OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END ENTITY JFQ ;

ARCHITECTURE ART OF JFQ IS

PROCESS(RST,ADD,CHOS) IS

VARIABLE POINTS_A0: STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE POINTS_B0: STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE POINTS_C0: STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE POINTS_D0: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

IF RST='1' THEN

POINTS_A0:="0000";

POINTS_B0:="0000";

POINTS_C0:="0000";

POINTS_D0:="0000";

ELSIF (ADD'EVENT AND ADD='0') THEN

IF CHOS="0001" THEN

IF POINTS_A0="1001" THEN

POINTS_A0:="0000";

ELSE

POINTS_A0:=POINTS_A0+1;

END IF;

END IF;

IF CHOS="0010" THEN

IF POINTS_B0="1001" THEN POINTS_B0:="0000"; ELSE

POINTS_B0:=POINTS_B0+1; END IF;

END IF;

IF CHOS="0011" THEN

IF POINTS_C0="1001" THEN POINTS_C0:="0000"; ELSE

POINTS_C0:=POINTS_C0+1; END IF;

END IF;

IF CHOS="0100" THEN

IF POINTS_D0="1001" THEN POINTS_D0:="0000"; ELSE

POINTS_D0:=POINTS_D0+1; END IF;

END IF;

END IF;

IF chos="0001" then out1<=POINTS_a0; ELSIF chos="0010" then out1<=POINTS_b0; ELSIF chos="0011" then out1<=POINTS_c0; ELSIF chos="0100" then out1<=POINTS_D0; ELSIF chos="0000" then out1<="0000"; END IF;

END PROCESS;

END ARCHITECTURE ART;

说明:RST:分数清零按键;

ADD:加分按键;

CHOS=STATES:输入选手组号;

OUT1:输出分数;

4、译码显示模块

程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity YM is

port(a:in std_logic_vector(3 downto 0);

b:in std_logic_vector(3 downto 0);

c:in std_logic_vector(3 downto 0);

clk1:in std_logic;

Y:in std_logic_vector(3 downto 0);

adres: out std_logic_vector(7 downto 0);

led7s: out std_logic_vector(7 downto 0) );

end YM;

ARCHITECTURE segled of YM is

signal S:integer range 0 to 12;

signal D:std_logic_vector(3 downto 0); signal zero:std_logic_vector(3 downto 0); begin

P1: process(clk1)

begin

zero<="0000";

if clk1'event and clk1='1' then

if S<5 then

S<=S+1;

else

S<=1;

end if;

end if;

end process P1;

P2:process(S)

begin

case S is

when 1 =>D<=a; adres<="01111111";

when 2 =>D<=b; adres<="11011111";

when 3 =>D<=c; adres<="11101111";

when 4 =>D<=Y; adres<="11111101";

when 5 =>D<=zero;adres<="11111110";

when others =>null;

end case;

end process P2;

P3:process(D)

begin

case D is

when "0000" =>led7s<="11000000";--0

when "0001" =>led7s<="11111001";--1

when "0010" =>led7s<="10100100";--2

when "0011" =>led7s<="10110000";--3

when "0100" =>led7s<="10011001";--4

when "0101" =>led7s<="10010010";--5

when "0110" =>led7s<="10000010";--6

when "0111" =>led7s<="11111000";--7

when "1000" =>led7s<="10000000";--8

when "1001" =>led7s<="10011000";--9

when others =>null;

end case;

end process P3;

end segled;

说明:A:输入选手组号;

B:倒计时十位;

C:倒计时个位;

Y:选手分数;

ADRES:控制数码管位选;

LED7S:控制数码管段选;

5、分频模块

程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity FP is

port( clk: in STD_LOGIC; clk1Hz: out std_logic);

end FP;

ARCHITECTURE segled of FP is

begin

PROCESS (clk)

VARIABLE count:INTEGER RANGE 0 TO 49999999;

BEGIN

IF clk'EVENT AND clk='1' THEN

IF count<=24999999 THEN

clk1Hz<='0';

count<=24999999时divls=0并且count加1

count:=count+1;

ELSIF count>=24999999 AND count<=49999999 THEN

clk1Hz<='1';

count:=count+1;

ELSE count:=0;

END IF;

END IF;

END PROCESS ;

END ARCHITECTURE segled;

(分频1HZ时将其中的数去掉三个9)

四路智力竞赛抢答器设计

数电课程设计 智力竞赛抢答器 姓名:郭堃 学号:200800800050 学院:信息工程学院专业:通信工程 年级2008级 指导教师:张军蕊

四路多路智能抢答器设计 前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。 前言 (1) 一、实验目的 (2) 二、实验内容和要求 (2) 三、设计思路 (2) 四、电路设计 (2) 1.电路设计指标 (3) 2.仿真电路组成 (4) (1)四路抢答器原理 (4) (2)定时器实现 (5) (3)计数电路 (6) (4)完整电路 (7) 五、总结与体会 (7) 六、参考文献 (8)

一、实验目的 1.学习智力竞赛抢答器电路的工作原理。 2.学习综合数字电子电路的设计、实现和调试方法。 二、实验内容和要求 设计实现一个可容纳四组参赛者的数字智力竞赛抢答器。 要求:每组设置一个抢答按钮供抢答者使用;电路具有第一抢答信号的鉴别和锁存功能。在此基础上再增加计分电路和犯规电路。 三、设计思路 可将整个系统分为三个主要模块:抢答鉴别模块QDJB ;抢答计时模块JSQ ;抢答计分模块JFQ 。整个系统的组成框图如图5-5-8所示。 图--1 智力竞赛抢答器系统框图 四、电路设计 CLR A B C D 抢答 鉴别 电路 ALED BLED CLED DLED 组别显示译码 电路 组别显示 计分 电路 SCOREA SCOREB SCOREC SCORED ADD 计时 电路 LOAD L1 L0 CLK EN 计时显示译码 电路 JSXS1 JSXS0

4路智力竞赛抢答器

信息与电气工程学院 课程设计说明书(2013 /2014学年第二学期) 课程名称:单片机应用 题目:4路智力竞赛抢答器 专业班级:自动化 学生姓名: 学号: 指导教师: 设计周数:两周 设计成绩: 2014年07月10日

一、课程设计目的 通过长达两周的单片机课程设计,使我加深对《单片机原理及应用》课程所学理论知识的理解,并将理论与实践相结合。结合课程设计的内容,学会单片机最小系统及外围电路的设计,基本电子元器件的使用,利用Protel软件绘制电路原理图和PCB图,编写程序并结合protues进行仿真,检查电路的合理性及可行性,进行软硬件联机调试,发现问题并解决问题。学会查阅相关专业技术资料及设计手册,提高团队合作及独立解决问题的能力。 二、课程设计任务及要求 2.1 原始数据及主要任务 采用STC90C52作为控制单元,实现4路智力竞赛抢答器的设计。 1.设计键盘输入电路。 2.设计显示电路。 3.合理分配地址,编写系统程序。 4.利用Protel设计硬件电路原理图和PCB图。 5.用protues仿真 2.2 技术要求 设计一台以MCS-51为核心的可供4名选手参加比赛的智力竞赛抢答器。4名选手各一个按键抢答,主持人一个按键用来系统清零和开始;1个数码管显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器响;选手抢答成功时,一个数码管显示选手号,同时蜂鸣器发3声间隔1秒笛响,倒计时停止。初始状态,2个数码管均为0,当抢答成功时,时间数码管显示暂停时间,选手号数码管显示选手号,直到系统清零。 三、设计思路及原理 3.1 设计思路 设置5个独立按键,其编号分别是1到5,key1为主持人按键,key2-key5分别为1-4号选手按键。主持人未按键时,其他选手抢答无效,只有当主持人按下开始按键时才可以进行抢答,左边数码管从“9”到“0”开始倒计时,当4个按钮只要有一个按下即只要有人抢答时,左边数码管倒计时停止,右边数码管显示选手编号,蜂鸣器发出3声间隔1秒笛响,选手前面的指示灯也一同点亮,其他抢答都无效。当选手答完后,由主持人按键将数码管清零,继续开始抢答。若10秒内无人抢答,则声鸣器发出超时报警声。选用两个共阳极数码管作为显示,数码管倒计时采用定时器T0进行1S钟计时。 3.2设计原理图

数电智力竞赛抢答器课程设计完全版

电子技术 课程设计 成绩评定表 设计课题:智力竞赛抢答器 学院名称:电气工程学院 专业班级:电气1503 学生:段帅朋 学号: 201523010310 指导教师: 设计地点:31-220 设计时间: 2017.6.26-2017.7.2

电子技术课程设计任务书

目录 1.绪论 (3) 1.1设计目的 (3) 1.2 设计要求 (3) 2.方案设计 (3) 2.1系统工作流程图 (3) 2.2 元器件清单 (4) 2.3主要元器件选择与分析 (5) 2.3.1 轻触开关 (5) 2.3.2 74LS192计数芯片 (5) 2.3.3共阴极数码管以及其驱动芯片74LS48 (6) 2.3.4 74LS175四路D触发器 (7) 2.3.5 555定时器 (8) 2.3.6 集成门电路 (8) 2.3.7 无源蜂鸣器 (8) 3.原理分析 (9) 3.1 抢答必答模式选择及其指示电路 (9) 3.2 抢答电路 (9) 3.3 脉冲产生电路 (10) 3.4单稳态定时电路 (11) 3.5 定时电路 (12) 3.6 音响电路 (13) 3.7整机电路分析 (13) 3.8加减分数电路 (14) 4.设计总结 (15)

1.绪论 1.1设计目的 1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。 2、巩固加深对电子技术基础知识的理解,培养学生发现问题、独立分析问题、解决问题,提高综合运用所学知识的能力。 3、通过查找资料、选方案、设计电路、写报告等环节的训练,熟悉设计的过程、步骤。为以后从事电子电路设计、研制电子产品打下基础。 4、了解电子线路设计的工程,学会书写设计说明书。 5、培养学生严肃、认真的科学态度和工作作风。 6、在课余实践,有效地激发学生对电子设计的兴趣,丰富课外生活。 7、培养学生自主学习能力,扩展知识面。 8、提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。 9、另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路有良好的思路,便于找出错的原因。 1.2 设计要求 用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下: 1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。 2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。 3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。 4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。 2.方案设计 2.1系统工作流程图 系统流程图如2.1所示,控制电路是核心组成部分,它控制抢答电路、音响电路、指示灯电路以及定时电路。主要由门电路与门、与非门、或门等实现控制逻辑。主持人和参赛选手都是通过按钮输入控制信号到控制电路,通过控制电路的逻辑实现对各个模块的控制。1K脉冲主要用于触发器时钟,秒脉冲主要用于计时器。

智力竞赛抢答器设计

智力竞赛抢答器设计

项目任务书题目:智力竞赛抢答装置的设计与调试 系部电子信息工程学院 学科门类工学 专业光电信息工程 2014年12月15日

智力竞赛抢答装置的设计与调试 摘要 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答 器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏, 再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。 关键词:抢答电路定时电路报警电路时序控制

目录 一引 言 (1) 1.1课题来源及意义 (2) 1.2 研究现状及趋 势 (3) 二系统设计 (4) 2.1概述 (5) (阐述抢答器的系统原理,包括综述,组成框图及各部分介绍) 2.2方案比较 (6) (总结各个方案的优缺点,比较几种方案) 2.3 方案确定 (7) (通过上节内容中的几种方案的比较,得出最优方案,并详细介绍)三电路设计与调试 (8) (包括单元电路设计,参数计算,元器件选型,最终得出总电路图,并阐述调试方法与过程)

四总结与展 望 (9) (总结你的设计方案的优缺点,并提出改进方案) 4.1总结 (10) 4.2展 望 (11) 参考文献 (12) 附录 (13) (附系统总体电路图,用正规软件绘制)

四路竞赛抢答器设计

四路竞赛抢答器设计与仿真。 一、设计题目:四路竞赛抢答设计与仿真 二、设计要求 设计并仿真能容纳四组参赛队参赛的声光显示抢答器,要求: 1)主持人按下复位后,允许开始抢答, 2)有人抢答成功,即发出光、声报警信号,并封锁其他参赛队抢答信号的输入 主要器件 1)74LS175、74LS04; 2)发光二极管、蜂鸣器、三极管等。

三、题目分析 为达到设计要求可以有两种方案可以选择 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用集成4D触发器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。智力竞赛是在竞赛中分成几组参加,我设计的为四组,这时针对主持人提出的问题,各组一般进行抢答,对于抢答,需要一种逻辑电路抢答器作为裁判员。先由主持人控制主电路,各组再进行抢答,优先抢答者抢到并回答问题解除抢答信号后,电路才恢复下一次抢答。 以下是我设计的智力竞赛抢答器的主要设计思路:主持人控制开关接地与四组开关接5V 电压,我集成4D触发器74LS175,起到优先抢答的作用。利用当其中一组抢答即对应的开关关闭,对应的锁存输入端为高电平,对应的输出端也为高电平,经过与门,实现锁存功能。这样,当一组抢答时其它组就被屏蔽了。举个例子来说,若一组得到抢答权,则由于接上电压该组输出高电压,又由于锁存器的锁存原因,其它二组,三组,四组输出的为低电平,与一组相连的发光二极管会发光,同时通过或非门与报警电路相连,使之发出连续响声。因为由两个或门与译码器连接,译码器是输入高电平有效,而第一组输出高电平时,对应的LED 亮,说明改组拥有回答问题的权利。当主持人的控制开关复位时,各组的抢答者就开始抢答,当主持人的控制开关清零复位时,开始下一轮抢答。 以上就是我设计的竞赛抢答器的设计思路。

四路智力竞赛抢答器设计说明

建筑大学 电气与电子信息工程学院数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生: 学号: 指导老师: 设计时间:

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

四路智力竞赛抢答器

学年论文 (课程论文、课程设计) 题目:四路智力竞赛抢答器 作者:戎飞 所在学院:信息科学与工程学院 专业年级:电信 09-1 指导教师:王建英 职称:讲师2011 年 06 月 24 日

四路智力抢答器 摘要 抢答器很广泛的用于电视台、商业机构及学校,为竞赛增添了刺激性、娱乐性,在一定程上丰富了人们的业余生活。本文介绍一种数字式抢答器,能使四个队同时参加抢答,赛场中设有1个裁判台,4个参赛台,分别为A号、B 号、C号、D号参赛台.抢答操作方便,在很多的场所都可以使用,并且给人的视觉效果非常好。 数字抢答器由抢答电路,定时电路,报警电路,时序电路组成。优先编码电路,锁存器,译码电路将参赛选手的输入信号在显示器上输,通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,用控制电路和报警电路实现报警功能。以上几部分组成主体电路,从而构成数字抢答器。 关键字抢答电路定时电路报警电路 DXP Multisim 引言 当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,智力竞赛是一种生动活泼的教育方式,通过抢答和必答两种答题方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们迅速增加一些科学知识和生活常识。那么也就必然离不开抢答器,而现在的抢答器朝着数字化,智能化的方向发展,这就必然提高了抢答器的成本。鉴于现在小规模的知识竞赛越来越多,操作简单,经济实用的小型抢答器必将大有市场本文设计

的抢答器,电路简单,成本较低,操作方便,灵敏可靠,具有较高的推广价 值。 而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要 进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判 定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。抢答器 在竞赛中有很大用处,它能准确、公正、直观地判断出第1抢答者。通过抢答器 的指示灯显示、数码显示和警示蜂鸣等手段指示出第1抢答者。 正文 一、设计内容及要求 1、设计内容 设计一台供4名选手参加比赛的数字抢答器。 2、设计要求 (1)抢答器同时供4名选手比赛,分别用4个按钮S0 ~ S3表示。 (2)设置一个复位和抢答控制开关S,该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 (4)抢答器具有定时抢答功能。当主持人启动“开始”键后,定时器进行倒计时,同时扬声器发出短暂的声响。 (5)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 (6)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示0。

8路智力竞赛抢答器的设计

课程设计说明书 一、课题名称……………………………………………………页码 二、内容摘要……………………………………………………页码 三、设计内容及要求……………………………………………页码 四、方案论证及比较……………………………………………页码 五、单元电路的设计、参数计算和器件选择…………………页码 六、完整的电路图及工作原理…………………………………页码 七、设计特点及实用价值………………………………………页码 八、总结与心得体会……………………………………………页码 九、元器件清单…………………………………………………页码 十、参考文献……………………………………………………页码附录(图)…………………………………………………………页码

一、课题名称 8路智力竞赛抢答器的设计 二、内容摘要 主要包括数码显示八路抢答器电路的组成、设计及功能,电路采用74系列常用集成电路进行设计。该抢答器除具有基本的抢答、锁存功能外,还具有定时抢答功能,时间定为30S,时间计时用LED 显示,时间到扬声器发出2S声响,抢答功能失效。主持人通过控制开关,控制清零(编号显示数码管灭灯)和抢答的开始,开始后系统将自动倒计时30秒。若在规定的时间内有人抢答,则计时将自动停止;若规定的时间到并且无人抢答,则系统中的蜂鸣器将发响持续2秒,提示主持人本轮抢答无效,实现报警功能,抢答功能失效。 该抢答器主要运用到了编码器、计数器、锁存器和定时器:它采用74LS148来实现抢答器的选号,采用74LS74芯片实现对号码的锁存,采用74LS192实现十进制的减法计数,采用555芯片产生秒脉冲信号来共同实现倒计时功能。 三、设计内容及要求 1、可同时供8名选手参加比赛; 2、他们的编号分别是0、1、2……..7;各用一个抢答按钮,按纽编号与选手编号相对应; 3、主持人手持一控制开关,用来控制清零(编号显示数码管灭灯)和抢答的开始;

毕业设计-四路智力竞赛抢答器的设计

摘要 本文设计可供四人抢答的抢答器电路并对其进行仿真。首先本文提出了一种控制以及计时电路的方案,并对其进行了论证。设计方案先利用D触发器及优先编码器74LS148N组成的抢答电路实施抢答电路的运行,然后利用555集成电路构成秒脉冲发生器;然后用其产生的矩形波触发倒计时计数器;运用输出的进位电压控制计时器的停止,并发生警报。然后用Multisim9对电路进行仿真和整体的性能指标测试。经过测验,得到了比较符合要求的仿真结果。 关键字:D触发器、优先编码器74LS148、七段显示译码器74LS48、555集成电路

目录 摘要 ............................................................................................................................... I 目录 .............................................................................................................................. I I 绪论 . (1) 第1章方案与论证 (2) 1.1 设计要求 (2) 1.2 方案论证 (2) 第2章单元电路设计 (4) 2.1 抢答器按键保持与封锁电路 (4) 2.1.1 74LS74D触发器 (4) 2.2 选手号码显示电路 (6) 2.2.1 74LS148优先编码器 (6) 2.2.2 74LS248七段译码器 (8) 2.3 脉冲发生器电路 (10) 2.3.1 555定时器 (10) 2.4 8421BCD码递减计数器电路 (12) 2.4.1 十进制可逆计数器74LS192 (12) 2.5 抢答及限时鸣响电路 (14) 2.5.1 74LS04非门 (14) 2.5.2 74LS02与非门 (15) 总结 (17) 参考文献 (18) 附录Ⅰ总电路图 (19) 附录Ⅱ元器件清单 (20)

《数字电子技术》四路智力竞赛抢答器

第一章系统方案的设计 1.1 设计的基本思路 制作抢答器可以用很多的方法,可以用单片机来完成,它的功能强大制作简单,并且外围的元件也很少;也可以用PLC来实现,他的制作也是比较简单;还可以用我们学过的EDA技术来制作;最后也可以用数字电路来实现,它的原理比较简单,集成块的价格也比较便宜且很容易购买,与我们学完的<<数字电路>>联系紧密,能将我们所学知识用于实际,对巩固所学知识有重要意义,用了一些成型电路,如NE555标准秒脉冲电路等,使总体方案易于实现。 1.2 系统方案的比较和论证 方案一:采用数字电路 定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。

图1所示的定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器显示设定的时间,当节目主持人宣布“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作: ①优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号; ②扬声器发出短暂声响,提醒节目主持人注意; ③控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答; ④控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。当选手将问题回答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。 方案二:采用单片机 此电路完成的功能如图2所示,当主持人宣布抢答开始的时候,按下开始按钮,此时电路进入抢答状态,选手的输入采用了扫描式的输入,之后把相应的信息送往单片机,再由单片机输出到显示输出电路中。此时有人第一按下相应的抢答按钮,经过单片机的控制选择,在八段显示器上显示相应的号码,并锁存,同时禁止其他按钮的输入。 (图2)

数电--智力竞赛抢答器实验报告

智力竞赛抢答装置 一、实验设备与器件 (1)+5V直流电源 (2)逻辑电平开关 (3)逻辑电平显示器 (4)双踪示波器 (5)数字频率计 (6)直流数字电压表 (7)74LS175,74LS20,74LS74,74LS00 (预习要求)若在图10-1 电路中加一个计时功能,要求计时电路显示时间精确到秒,最多限制为2min,一旦超过限时,则取消抢答权,电路如何改进? 二、实验目的 1、学习数字电路中D触发器、分频电路、多谐振荡器、CP时钟脉冲源等单元电路的综合运用; 2、熟悉智力竞赛抢答器的工作原理; 3、了解简答数字系统设计、调试及故障排除方法。 三、实验原理 下图为四人用的智力竞赛抢答装置线路,用以判断抢答优先权。

智力竞赛抢答器装置原理图 图中F1为四D触发器74LS175,它具有公共置0端和公共CP端,引脚排列间附录;F2为双4输入与非门74LS20;F3是由74LS00组成的多谐振荡器;F4是由74LS74组成的四分频电路;F3、F4组成抢答器中的CP时钟脉冲源。抢答开始时,由主持人清除信号,按下复位开关S,74LS175的输出Q1~Q4全为0,所有发光二极管LED灯均熄灭,当主持人宣布“抢答开始”后,首先做出判断的参赛者立即按下开关,对应的发光二极管点亮,同时,通过与非门F2送出信号锁住其余3个抢答者的电路,不再收受其他信号,直到主持人再次清除信号为止。 四、实验内容 (1)测试各触发器及各逻辑门的逻辑功能。测试方法参照数字电子技术基础实验的有关内容,判断器件的好坏。 (2)按图10-1接线,抢答器五个开关接实验装置上的逻辑开关,发光二极管接电平显示器。 (3)断开抢答器电路中CP脉冲源电路,单独对多谐振荡器F3及分频器F4进行调试,调整多谐振荡器10kΩ电位器,使其输出脉冲频率约4kHz,观察F3和F4输出波形及测试其频率。(4)测试抢答器电路功能。接通+5V电源,CP端接实验装置上连续脉冲源,取重复频率约1kHz。 1)抢答开始前,开关K1,K2,K3,K4均置“0”,准备抢答,将开关S置“0”,发光二极管全熄灭,再将S置“1”。抢答开始,K1,K2,K3,K4某一开关置“1”,观察发光二极管的亮、灭情况,然后再将其他三个开关中任一个置“1”,观察发光二极管的亮、灭有否改变。2)重复1)的内容,改变K1,K2,K3,K4 任一个开关状态,观察抢答器的工作情况。3)整体测试。试开实验装置上的连续脉冲源,接入F3和F4,再进行实验。 五、设计报告 (1)分析智力竞赛抢答装置各部分功能及工作原理。 (2)总结数字系统的设计、调试方法。 (3)分析设计中出现的故障及解决办法。

期末数电课程设计 四路智力竞赛抢答器设计

数字逻辑期末课程设计 四路智力竞赛抢答器设计 专业班级:计算机0791 学号:12 日期2009.6.12 指导老师姓名:陆超成绩

多路智能抢答器设计 前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。 目录 1 前言 (2) 2 设计内容及要求 (3) 3 系统设计方案(设计方案的比较和选定) (4) 4 电路工作原理(设计思路) (6) 5 元电路设计参数计算及元器件选择 (10) 6 完整电路图 (17) 7 系统需要的元器件清单 (18) 8 总结与体会 (18) 9 参考文献 (18)

一 . 设计内容及要求 该电路的根本任务时准确的判断出第一抢答者的信号并将其锁存。实现这一功能可用触发器和锁存器等。在得到第一信号后应立即将电路的输入封锁,即使其他组再次发出抢答信号也无效。同时还必须注意,第一抢答信号应该在主持人发出抢答命令之后才有效。当电路形成第一抢答信号之后,用编码、译码及数码显示电路显示出抢答者的组别,也可以用发光二级管直接指示出组别。 1 . 基本功能 (1)抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0 ~ S3表示。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。用来控制系统清零(编号显示数码管灭灯)和抢答的开始。 (3)抢答器具有锁存与显示功能。即抢答开始后,选手按动按钮,锁存相应的编号,并在编号显示器上显示该编号。同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能(选做) (1)抢答器具有定时抢答功能。要求定时器开始倒计时,并用定时显示器显示倒计时时间。 (2)参赛选手在设定时间(9秒,30)内抢答,抢答有效,同时定时器停止倒计时,编号显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (3)如果定时抢答时间已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 3 . 抢答器的组成框图 定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。 图1所示的定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器显示设定的时间,当节目主持人宣布抢答题目后,说一声“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作: ①优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电 路显示编号; ②扬声器发出短暂声响,提醒节目主持人注意; ③控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答; ④控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持 人将系统清零为止。当选手将问题回答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。

四人智力竞赛抢答器课程设计教程文件

四人智力竞赛抢答器 课程设计

课程设计 课程名称_数字电子技术课程设计 题目名称四人智力竞赛抢答器 学生学院 专业班级 学号 学生姓名__ _________ 指导教师 四人智力竞赛抢答器课程设计报告 一、设计题目 题目:四人智力竞赛抢答器 二、设计任务和要求 1)设计任务

设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。

四人智力竞赛抢答器课程设计报告

一、设计题目 四人电子抢答器 二、设计功能 1.基本功能 (1)抢答器同时供4名选手比赛,分别用4个按钮key5~key8表示。 (2)设置一个系统重置和抢答控制开关start(key1),该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,扬声器发出声响提示,并在数码管上显示选手号码。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能 (1)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(30秒)。当主持人启动"开始"键后,定时器进行减计时。 (2)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 (3)在设定的抢答时间内如果定时时间已到,无人抢答,本次抢答无效, 3.自主功能 (1)抢答器具有自动计时功能,当有选手抢答答题时间(10秒)自动开始。 (2)报警电路设计:当答题时间和抢答时间将到或结束(还剩3秒时)发出蜂鸣声结束答题。 (3)每个选手有一个记分板,答对题目可以由主持人控制start键给予加分。每加一分响一次。 (4)长按start可以自动准备计时和系统清零,短按开始计时和暂停。

三、原理电路设计: 1、方案 抢答电路:使用74175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74148作为编码器,对输入的型号进行编码。输出在共阳数码管显示主持人电路: (1)利用2个74168计数器作为倒计时的芯片,当主持人按下抢答按钮时,2个74168被置29,同时将开始倒计时。假如在30秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 (2)利用4个74160分别控制4位选手的得分,分别显示在4个共阳数码管。每得1分响一下 (3)start键输出后分为两路,一路是进入key模块,一路控制30秒倒计时。其中为了区分重置计时电路和暂停计时电路。根据线路的特点,一个接到T 触发器进行短按控制,一个只接到除颤电路通过100hz的控制进行长按控制。 显示电路和分频电路上课老师讲的很具体明了就不一一详叙。 2、单元电路设计 (1)、锁存器电路的设计 锁存器电路采用以74175为中心的锁存器系统,当4个抢答输入端中出现低电平输入时信号时,锁存器立即锁存,禁止抢答,其原本为4个高电平的输出端也变成3高一低,可以利用一个4输入与非门将其与非,再接一个非门后,可以与74175的时钟信号相与非,使得CLK端的输入信号为底电平,从而阻止其余选手的抢答,从而达到锁存的目的74175的真值表如下: 锁存器的单元电路设计如下:

多路智力竞赛抢答器设计实验报告

武汉纺织大学数字电子课程设计实验报告 设计题目:多路智力竞赛抢答器 姓名: 学号: 班级: 同组姓名: 指导老师: 成绩: 设计时间:2013.6.30—2013.7.10

摘要 数字电子技术课程设计是在学习完数字电子电路课程之后,按照课程教学的要求,对学生进行综合性训练的一个实践性教学环节。主要目的是培养学生综合运用理论知识能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力;了解数字电子电路的一般设计方法,初步掌握数字电子线路安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装、调试方法。 关键词:数字电子、培养能力 一、设计任务与要求 1.设计题目 多路智力竞赛抢答器 2.功能要求 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编 号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮, 该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答,同时计数器显示此时的时间。抢答选手的编号一直保持到主持人将系统清零为止。 (4) 抢答器具有定时(10秒)抢答的功能。当主持人按下开始按钮后,定时器开 始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器

响。参赛选手在设定时间(10秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5) 如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警, 并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6) 用555定时器产生频率为1Hz的脉冲信号,作为定时计数器的CP信号。 3.设计步骤及要求 (1)给出定时抢答器的组成框图; (2)设计并焊接安装各单元电路,要求布线整齐、美观,便于级联与调试;(3)测定定时抢答器的逻辑功能,以满足设计功能要求; (4)画出定时抢答器的整机逻辑电路图; (5)按照要求写出设计报告。 二、方案设计与论证 2.1 方案一: 采用51单片机或MSP430单片机以及相关元器件用程序代码完成定时系统和主时序控制系统的设计,外接用数码管显示和手按键抢答系统与报警系统。该电路接线简单,但要求比较高。 2.2 方案二: 采用模拟电路设计,利用晶体三极管以及相关元器件设计成各个系统再将各个系统组合在一起实现要求的功能。该方案电路复杂,制作太过于复杂,难以实现。 2.3 方案三: 采用数字电子电路设计,利用各芯片及相关元器件构成抢答电路、定时器电路、报警电路和时序控制电路系统模块,再将各个模块组装起来实现要求的功能。该方案电路设计比较简单,要求也比较合理。

四路智力竞赛抢答器

本科生课程设计 题目:四路智力竞赛抢答器 课程:数字电子技术基础 专业:电气工程及其自动化 班级: 学号: 姓名: 指导教师: 完成日期:

总目录 第一部分:任务书 第二部分:课程设计报告第三部分:设计图纸

第一部分 任 务 书 一、设计题目及内容 智力竞赛抢答器

1、抢答器为四路; 2、显示出最先抢答器的选手号码; 3、带裁判员启动控制按钮; 4、裁判员启动后,30秒后无组抢答,抢答器停止工作(应显示30秒递减时间)。 二、设计要求 1、用中小型规模集成电路设计出所要求的电路; 2、在实验箱上安装、调试出所设计的电路; 3、写出设计、调试、总结报告。 三、器材与器件 1、数字逻辑箱 2、BCD七段译码器 3、二输入四与非门 4、四输入双与非门 5、正沿双D触发器 6、十进制计数器 7、LED共阴数码管 8、石英晶体 9、开关、电阻、电容、发光二极管、导线若干。 四、参考文献 1、“模拟电子技术基础”和“数字电子技术基础”教材; 2、有关“电子技术课程设计指导书”; 3、“集成电路特性应用手册”; 4、其他。 五、时间安排 1、方案设计:(半天) 根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。 2、电路设计:(一天) 根据方案设计框图,并画出详细的逻辑图 3、装配图设计:(半天) 根据给定的元器件,结合逻辑图,设计出电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的实际位置)。同时配以必要的文字说明。 4、电路制作:(两天) 对选定的设计,按装配图进行装配,调试实验。 5、总结鉴定:(一天) 考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报告。 六、设计总结报告主要内容 1、任务及要求; 2、方案特点; 3、各组成部分及工作原理(应结合框图写); 4、单元电路设计与调试; 5、总逻辑图; 6、总装配图;

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间:

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

相关文档
最新文档