EDA技术基础实验报告
EDA实验报告完结版

EDA实验报告完结版《EDA技术基础实验报告》学院:信息科学技术学院学号姓名:专业:电子信息工程实验一 MAX-plusⅡ及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、实验设备PC机一台,DXT-B3 EDA实验系统一台三、实验内容1、在E盘上建立一个文件夹,点开MAX-plusⅡ菜单,选择Graphic Editor,在图形编辑器中画出电路图,如图所示1.1,设计一个半加器,进行编译、仿真,并将其设置成为一元件,编译之前必须将文件设为当前文件。
2、建立一个更高的原理图设计层次,如图1.2所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真,并将其设置成为一元件,编译之前必须将文件设为当前文件。
3、再建立一个更的原理图设计层次,如图1.3所示,利用前面生成的半加器元件设计一全加器,进行编译、仿真。
然后点开Node/Enter Node Form SNF输入端口,然后输入波形,选择MAX-plusⅡ/Simulator进行仿真。
实验原理图如下:图1.1 半加器电路原理图- 1 -图1.2 全加器电路原理图图1.3 4位全加器电路原理图- 2 -四、实验结果将四位全加器原理图进行仿真,可以得出如下仿真图- 3 -实验二秒表的设计一、实验目的:1、熟练利用VHDL语言进行数字系统设计;2、掌握数字系统的设计方法――自顶向下的设计思想;3、掌握计数器的设计与使用;4、根据秒表的功能要求设计一个秒表;二、实验设备:PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干三、实验要求:1、有秒、分计数,数码扫描显示输出;2、有清零端和计数使能端;3、在功能允许的情况下,可自由发挥;四、实验原理:1、功能描述:秒表是一种计时的工具,有着很广泛的用途。
本实验中的秒表要求有两个功能按钮:一个是计数和停止计数按钮,当第一次按下此按钮时,秒表开始计数,再一次按下时,秒表停止计数,并显示所计的数字;另一个是清零按钮,当按下此按钮时,秒表清零。
EDA-实验报告

实验一五人表决器设计一、实验目的1 加深对电路理论概念的理解3 加深计算机辅助分析及设计的概念4 了解及初步掌握对电路进行计算机辅助分析的过程二、实验要求制作一个五人表决器,共五个输入信号,一个输出信号。
若输入信号高电平数目多于低电平数目,则输出为高,否则为低。
三、实验原理根据设计要求可知,输入信号共有2^5=32种可能,然而输出为高则有15种可能。
对于本设计,只需一个模块就能完成任务,并采用列写真值表是最简单易懂的方法。
四、计算机辅助设计设A,B,C,D,E引脚为输入引脚,F为输出引脚。
则原理图如1所示图1.1 五人表决器原理图实验程序清单如下:MODULE VOTEA,B,C,D,E PIN;F PIN ISTYPE 'COM';TRUTH_TABLE([A,B,C,D,E]->[F])[0,0,1,1,1]->[1];[0,1,1,1,0]->[1];[0,1,0,1,1]->[1];[0,1,1,0,1]->[1];[1,0,1,1,1]->[1];[1,1,0,1,1]->[1];[1,1,1,0,1]->[1];[1,1,1,1,0]->[1];[1,1,1,0,0]->[1];[1,1,0,1,0]->[1];[1,1,1,1,1]->[1];[1,1,0,0,1]->[1];[1,0,0,1,1]->[1];[1,0,1,0,1]->[1];[1,0,1,1,0]->[1];END五、实验测试与仿真根据题目要求,可设输入分别为:0,0,0,0,0;1,1,1,1,1;1,0,1,0,0;0,1,0,1,1。
其测试程序如下所示:MODULE fivevoteA,B,C,D,E,F PIN;X=.X.;TEST_VECTORS([A,B,C,D,E]->[F])[0,0,0,0,0]->[X];[1,1,1,1,1]->[X];[1,0,1,0,0]->[X];[0,1,0,1,1]->[X];END测试仿真结果如图1.2所示:图1.2 五人表决器设计仿真图可知,设计基本符合题目要求。
eda技术实验报告

eda技术实验报告EDA技术实验报告引言EDA(Electronic Design Automation)技术是电子设计自动化的缩写,是现代电子设计中不可或缺的一环。
它通过计算机辅助设计,提高了电路设计的效率和质量。
本文将介绍EDA技术的背景、应用和实验结果。
背景随着电子产品的不断发展,电路设计变得越来越复杂,传统的手工设计已经无法满足需求。
EDA技术的出现填补了这一空白。
它利用计算机的强大计算能力和算法,帮助设计师完成电路设计、仿真、布局和验证等工作。
应用1. 电路设计EDA技术的核心应用是电路设计。
通过EDA工具,设计师可以绘制电路图、选择器件、进行参数设置等。
EDA工具还可以自动进行电路优化,提高电路性能。
2. 仿真验证在电路设计完成后,需要对电路进行仿真验证。
EDA技术可以提供准确的仿真结果,帮助设计师分析电路的性能和稳定性。
仿真验证可以帮助设计师发现潜在的问题,提前解决。
3. 物理布局物理布局是将电路逻辑转化为实际的物理结构。
EDA技术可以自动进行物理布局,优化电路的面积和功耗。
物理布局的好坏直接影响到电路的性能和可靠性。
4. 电路验证在电路设计完成后,需要进行电路验证,确保电路的正确性和可靠性。
EDA技术可以自动进行电路验证,提供准确的验证结果。
电路验证可以帮助设计师发现设计缺陷,提高电路的可靠性。
实验设计在本次实验中,我们选择了一款EDA工具进行实验。
首先,我们设计了一个简单的数字电路,包括与门和或门。
然后,利用EDA工具进行电路仿真和优化。
最后,对电路进行物理布局和验证。
实验结果通过实验,我们得到了以下结果:1. 仿真结果显示,设计的数字电路在不同输入条件下均能正确输出结果,验证了电路的正确性。
2. 通过优化算法,我们成功提高了电路的性能,减少了功耗和面积。
3. 物理布局结果显示,电路的布局紧凑,满足了设计要求。
4. 电路验证结果显示,电路的功能和性能均符合设计要求,验证了电路的可靠性。
eda技术实验报告

EDA技术实验报告1. 背景介绍EDA(Exploratory Data Analysis)是指探索性数据分析,是数据科学和机器学习中一项重要的任务。
通过EDA技术,我们可以对数据集进行可视化和统计分析,从而深入了解数据的特征和结构,为后续的数据处理和建模提供指导。
2. 实验目的本实验旨在通过使用EDA技术来分析一个给定的数据集,并从中获取有价值的信息。
通过实践,我们将深入了解EDA技术的应用和优势。
3. 实验步骤步骤1:导入数据首先,我们需要将实验所需的数据导入到Python的数据分析库中。
我们可以使用pandas库读取数据集,并将其存储为DataFrame对象,以便后续的分析和处理。
import pandas as pd# 读取数据集data = pd.read_csv('data.csv')步骤2:数据概览在进行数据分析之前,我们先要对数据进行整体的了解。
我们可以通过以下几个步骤来获取数据的概览信息:1.查看数据的前几行,了解数据的结构和格式。
data.head()2.查看数据的基本统计信息,包括均值、标准差、最小值、最大值等。
data.describe()3.检查数据中是否存在缺失值或异常值。
data.isnull().sum()步骤3:数据可视化EDA技术的核心之一是数据可视化。
通过可视化数据,我们可以更直观地理解数据的分布和关系。
下面是几种常用的数据可视化方法:1.直方图:用于展示数值型数据的分布情况,可以帮助我们了解数据的集中趋势和离散程度。
data['column'].plot.hist()2.散点图:用于展示两个数值型变量之间的关系,可以帮助我们发现数据的相关性。
data.plot.scatter(x='column1', y='column2')3.条形图:用于展示类别型数据的分布情况,可以帮助我们比较不同类别之间的差异。
EDA技术基础实验报告

《EDA技术基础》实验报告学院:信息科学技术学院专业:电子信息工程指导教师:龙翔完成日期:2013年12月目录实验一MAX-plusll 及开发系统使用 (3)实验二高速四位乘法器设计 (6)实验三秒表的设计 (9)实验四序列检测器的设计 (13)实验五数字频率计的设计 (18)六实验总结 (20)实验一一:实验名称:MAX-plusll 及开发系统使用二:实验内容1.利用MAX-plusII中的图形编辑器设计一半加器,进行编译、仿真,并将其设置成为一元件。
2.建立一个更高的原理图设计层次,利用前面生成的半加器元件设计一个全加器,进行编译、仿真,并将其设置成为一个元件。
3.再建立一个更高的原理图设计层次,利用前面生成的半加器元件设计一个全加器,进行编译、仿真。
4.选择器件“Assign”“Device”“MAX7000S”“EPM7128SLC84-6”,并根据下载板上的标识对管脚进行配置。
然后下载,进行硬件测试,检验结果是否正确。
三.实验程序1).半加器图2)全加器图3)四位全加器四:仿真图1).半加器仿真图2).全加器仿真图3).四位全加器仿真图实验二一:实验名称高速四位乘法器设计二: 实验内容1.利用MAX-plusⅡ中的图形编辑器设计1-4的二进制乘法器,进行编译、仿真,并将其设置成为一元件,命名为and14。
2.建立一个更高得原理图设计层次,利用前面生成的1-4的二进制乘法器和调用库中的74283元件设计一高速4位乘法器。
三:实验程序1.2.四:仿真图实验三一:实验名称秒表的设计二:实验内容(一)、实验步骤1、采用自顶向下的设计方法,首先将系统分块;2、设计元件,即逻辑块;3、一级一级向上进行元件例化(本实验只需例化一次即可),设计顶层文件。
(二)、实验程序设计原理实验程序如三所示,其中输入信号分别为使能信号ENA、清零信号CLR、时钟信号CLK,输出信号有秒针信号CA和分针信号CB。
EDA实验报告

EDA实验报告一、实验目的本实验旨在通过使用EDA(数据探索性分析)技术,进一步了解和分析所研究数据的特征、分布、关系以及可能存在的异常值等,从而为后续的数据建模和决策提供更加准确的依据。
二、实验步骤1. 数据收集与加载从数据源中获取所需数据集,并使用相应的数据加载工具将数据集导入到实验环境中。
多种数据源包括文件、数据库、API请求等方式均可。
2. 数据检查与预处理对导入的数据进行初步检查,包括数据类型、缺失值、异常值等方面的处理。
根据具体需求,对缺失值可以进行填充或删除操作,对异常值可以通过替换、删除或者修复的方式进行处理。
3. 数据探索性分析a) 描述性统计分析对各个变量进行描述性统计,包括计算均值、中位数、标准差等指标,以直观地了解数据的分布和变异程度。
b) 单变量分析对每个变量进行分析和探索,绘制直方图、箱线图、概率密度图等,以帮助我们了解变量的分布情况、异常值等。
c) 多变量分析使用散点图、柱状图、热力图等方式,对不同变量之间的关系进行分析。
可以通过相关性分析、协方差矩阵等方法来探索变量之间的线性关系。
4. 结果可视化在数据分析过程中,可以使用适当的可视化方法将分析结果直观地展示出来,如绘制折线图、散点图、热力图等。
可视化可以更好地理解数据的特征和趋势。
5. 异常检测与处理在探索性分析过程中,发现异常值后,需要进一步分析和决定如何处理它们。
可以采用剔除、修复等方式,使得数据能够更加符合实际情况。
6. 相关性分析对于关键变量之间的相互关系,可以使用相关性分析等统计方法来衡量它们的相关程度。
这可以帮助我们理解变量之间的影响和作用,以及它们与问题或目标变量之间的关系。
三、实验结果通过对所研究数据集的EDA实验,我们得出以下结论:1. 数据集的缺失值情况较为严重,需要进行适当的处理,以避免因缺失数据引起的结果不准确或失真的问题。
2. 变量A和变量B之间存在较强的正相关关系,即当A增加时,B 也会相应地增加;变量C则与变量A和B之间的关系较弱。
EDA实验报告完结版

EDA实验报告完结版一、实验目的本次 EDA 实验的主要目的是通过实际操作和设计,深入理解和掌握电子设计自动化(EDA)技术的基本原理和应用。
具体而言,包括熟悉 EDA 工具的使用方法,学会运用硬件描述语言(HDL)进行逻辑电路的设计与描述,以及通过综合、仿真和实现等流程,将设计转化为实际的硬件电路,并对其性能进行评估和优化。
二、实验环境本次实验所使用的 EDA 工具为_____,该工具提供了丰富的功能模块和强大的设计支持,包括原理图编辑、HDL 代码编写、综合、仿真和下载等。
实验所使用的硬件平台为_____开发板,其具备多种接口和资源,便于对设计的电路进行实际验证和测试。
三、实验内容1、基本逻辑门电路的设计与实现使用 HDL 语言(如 Verilog 或 VHDL)设计常见的基本逻辑门电路,如与门、或门、非门等。
通过编写代码,对逻辑门的输入输出关系进行描述,并进行综合和仿真,验证设计的正确性。
2、组合逻辑电路的设计与实现设计并实现较为复杂的组合逻辑电路,如加法器、减法器、编码器、译码器等。
运用 HDL 语言描述电路的功能,进行综合和仿真,确保电路在各种输入情况下的输出结果符合预期。
3、时序逻辑电路的设计与实现设计常见的时序逻辑电路,如计数器、寄存器、移位寄存器等。
在设计过程中,考虑时钟信号、同步复位和异步复位等因素,通过仿真验证时序逻辑的正确性,并对电路的性能进行分析。
4、有限状态机(FSM)的设计与实现设计一个有限状态机,实现特定的功能,如交通信号灯控制器、数字密码锁等。
明确状态转移条件和输出逻辑,通过编写 HDL 代码实现状态机,并进行综合和仿真,验证其功能的准确性。
5、综合与优化对设计的电路进行综合,生成门级网表,并通过优化工具对电路进行面积、速度等方面的优化,以满足特定的设计要求。
6、硬件实现与测试将综合后的设计下载到硬件开发板上,通过实际的输入输出信号,对电路的功能进行测试和验证。
观察电路在实际运行中的表现,对出现的问题进行分析和解决。
eda实验报告

eda实验报告EDA(Exploratory Data Analysis)是一种常用的数据分析方法,通过对数据集进行可视化、统计和计算,来揭示数据中的潜在规律和趋势。
下面是一份关于EDA实验的报告,总字数700字。
实验目的:1. 熟悉EDA方法和流程;2. 分析数据集的特征和表现;3. 发现数据集中的异常和趋势。
实验过程:1. 数据收集与预处理本次实验使用的数据集是关于某公司销售数据的报告。
首先,收集了公司销售数据,并进行了数据清洗与预处理,包括去除重复数据、处理缺失值和异常值等。
2. 数据可视化通过绘制直方图、散点图和箱线图等可视化图表,分析如下几个方面:(1)销售额分布情况:绘制直方图分析销售额的分布情况,观察是否存在明显的集中趋势或异常值。
(2)销售额与时间的关系:绘制时间序列图,观察销售额随时间的变化趋势。
(3)不同产品类别的销售情况:绘制柱状图比较不同产品类别的销售额,从而分析各类别产品的市场表现。
(4)销售额与其他因素的关系:绘制散点图分析销售额与其他因素(如广告费用、产品价格等)之间的关系,发现潜在的规律。
3. 数据统计与计算通过对数据集进行统计和计算,揭示数据集中的特征和规律,如:(1)平均销售额:计算销售额的平均值,以了解公司销售的平均水平。
(2)销售额的标准差:计算销售额的标准差,以评估销售额的波动性和不稳定性。
(3)销售额的趋势:使用回归分析等方法,拟合销售额与时间的关系,从而预测未来的销售趋势。
实验结果:通过数据可视化和统计计算,我们获得了以下一些结果:1. 销售额的分布呈正偏态,大部分销售额集中在较低水平,但也存在一些异常值;2. 销售额随时间呈现出上升的趋势,说明公司的销售业绩在逐渐提升;3. 不同产品类别的销售额差异较大,其中某些类别的销售额明显高于其他类别;4. 销售额与广告费用和产品价格之间存在一定的正相关关系,即投入更多的广告费用和提高产品价格可以带来更高的销售额。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA技术基础实验报告学院:信息科学与技术学院班级:姓名:学号:实验一 MAX—plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。
三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。
它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。
因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。
传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。
而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,就本套实验设备做一个简单的介绍。
1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果只是进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。
第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。
第四步:注册启动Max+PlusII 软件,可以从开始-->程序-->Altera-->Max+PlusII 打开,也可以建立一个快捷方式在桌面上。
启动软件后,会有弹出一个对话框,点击是或否都可以,然后进入系统。
点击菜单中的Options,然后选中License菜单项,打开弹出一个注册对话框,在注册文件路径中打开你第三步中复制位置的License 文件,然后点击OK,注册完毕。
2、 max+plusⅡ软件基本设计流程实验二全加器的设计一、实验目的学习加法器的设计、仿真和硬件测试,进一步熟悉VHDL设计技术。
二、实验设备PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)。
三、实验要求详细描述4位全加器的设计过程,给出各层的电路原理图以及对应的仿真波形。
四、实验原理1位半加器可以由与、或、非等基本门构成,如下图所示。
半加器电路原理图1位全加器可以由两个半加器和一个或门构成,如下图所示。
全加器电路原理图根据实验原理中,采用层次法设计一个4位全加器。
五、实验步骤1.或门VHDL代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY OR2 ISPORT(A,B:IN STD_LOGIC;C:OUT STD_LOGIC);END ENTITY OR2;ARCHITECTURE RTL OF OR2 ISBEGINC<= A OR B;END ARCHITECTURE RTL;或门仿真波形图分析:A、B为输入端,C为输出端,由以上仿真图可以看出,VHDL程序正确。
2.1位半加器VHDL代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY H_ADDER ISPORT (A,B:IN STD_LOGIC;CO,SO:OUT STD_LOGIC);END ENTITY H_ADDER;ARCHITECTURE RTL OF H_ADDER ISBEGINSO<=A AND (A NAND B);CO<=NOT(A NAND B);END ARCHITECTURE RTL;1位半加器仿真波形图分析:A、B为输入端,SO为计数输出端,CO为进位端,由以上仿真图可以看出,VHDL 程序正确。
由原理可知,两个半加器和一个或门相连组成一位全加器,如下图所示:1位全加器电路原理图3.全加器VHDL代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FULL_ADDER ISPORT (AIN,BIN,CIN :IN STD_LOGIC;COUT,SUM :OUT STD_LOGIC);END ENTITY FULL_ADDER;ARCHITECTURE RTL OF FULL_ADDER ISSIGNAL S:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINS<=('0'&AIN)+BIN+CIN;SUM<=S(0);COUT<=S(1);END RTL;1位全加器波形仿真图(有延时)分析:CIN、BIN为输入端,AIN为低位进位端,SUM为计数输出端,COUT为高位进位端,从以上结果可看出,波形在200ns的时候产生“毛刺”,且波形在400ns、500ns和600ns 的时候存在“延时”。
低位全加器的进位端(CONT)接高位全加器的低位进位端(AIN),则组成4位全加器如下图所示:4位全加器级联图B(4-1)、C(4-1)为输入端,S(4-1)为计数输出端,A为低位进位端, C为高位进位端,其波形仿真图如下图所示(注意:编译之前必须将文件设为当前文件):4位全加器波形仿真图分析:由波形可知,仿真结果正确,但存在延时,譬如,当低端进位A高电平时,S端输出的A(H)延时比较长。
选择器件“Assign”|“Device”|“MAX7000S”|“EPM7128SLC84-6”,并根据下载板上的标识对管脚进行配置。
然后下载,进行硬件测试,检验结果是否正确。
六、问题与讨论:如何避免“延时”?答:在VHDL程序中改用变量赋值。
实验三含异步清0和同步时钟使能的十进制计数器一、实验目的学习时序电路的设计、仿真和硬件测试,进一步熟悉VHDL设计技术。
二、实验原理设计一个含异步清零,同步时钟使能的十进制计数器,要求 rst是异步清零信号,高电平有效;ena为使能端,高电平有效;clk是时钟信号;outy[3..0]是4位数据输出端。
三、实验内容在MAX+plusII上依照实验原理进行设计、编辑、编译、综合、适配、仿真。
详细描述示例的功能特点,给出其所有信号的时序仿真波形。
四、实验步骤1.计数器的VHDL代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT4B ISPORT (CLK : IN STD_LOGIC;RST : IN STD_LOGIC;ENA : IN STD_LOGIC;OUTY : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);COUT : OUT STD_LOGIC );END CNT4B;ARCHITECTURE behav OF CNT4B ISSIGNAL CQI : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINP_REG: PROCESS(CLK, RST, ENA)BEGINIF RST = '1' THEN CQI <= "0000";ELSIF CLK'EVENT AND CLK = '1' THENIF ENA = '1' THENIF CQI="1001" THEN CQI<="0000";ELSE CQI <= CQI + 1;END IF;ELSE CQI<="0000";END IF;END IF;OUTY <= CQI ;END PROCESS P_REG ;COUT<=CQI(3);END behav;2.计数器的仿真波形图十进制计数器的仿真波形图分析:实验结果正确。
如图所示,当RST(复位端)为1时,计数器不工作。
当ENA (使能端)为1时,计数器工作。
当CQI(3)为1时,COUT(进位端)有效。
五、问题与讨论在上例中是否可以不定义信号 CQI,而直接用输出端口信号完成加法运算,即 : OUTY <= OUTY + 1 ?答:在源代码中必须要定义信号CQI,因为VHDL里面规定输出端口不能做为赋值来用,而OUTY<=OUTY+1,已经把OUTY当成赋值来用了,同样道理,输入端口也不能用于被赋值。
实验四秒表的设计一、实验目的1、熟练利用VHDL语言进行数字系统设计;2、掌握数字系统的设计方法——自顶向下的设计思想;3、掌握计数器的设计与使用;4、根据秒表的功能要求设计一个秒表。
二、实验设备PC机一台、EDA教学实验系统一台、下载电缆一根(已接好)、导线若干。
三、实验要求1、有秒、分计数,数码扫描显示输出;2、有异步清零端;3、在功能允许的情况下,可自由发挥。
四、实验原理1、功能描述:秒表是一种计时的工具,有着很广泛的用途。
本实验中的秒表要求有一个功能按钮——清零按钮,当按下此按钮时,秒表清零。
在数码管上采用扫描显示输出。
2、基本原理:本实验中用到的主要元件有计数器、数据选择器、译码器。
秒、分都是60 进制计数,所以必须采用两个60 进制的计数器。
3、自顶向下的设计方法:自顶向下的设计方法是数字系统设计中最常用的设计方法,也是基于芯片的系统设计的主要方法。
它的基本原理框图如下:自顶向下的设计方法利用功能分割手段将设计由上到下进行层次化和模块化,即分层次、分模块进行设计和仿真。
功能分割时,将系统功能分解为功能块,功能块再分解为逻辑块,逻辑块再分解为更少的逻辑块和电路。
如此分割,逐步的将系统细化,将功能逐步具体化,模块化。
高层次设计进行功能和接口描述,说明模块的功能和接口,模块功能的更详细描述在下一设计层次说明,最底层的设计才涉及具体寄存器和逻辑门电路等实现方式的描述。
(注意:这里所说的模块可能是芯片或电路板。
)五、实验步骤1.计数器(时钟)VHDL代码LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;entity clock isport ( clk,rst: in std_logic;co: out std_logic;qm:out std_logic_vector(3 downto 0);qn:out std_logic_vector(3 downto 0));end entity;architecture rtl of clock issignal qh:std_logic_vector(3 downto 0);signal ql:std_logic_vector(3 downto 0);beginprocess(clk,rst)beginif qh="0101" and ql="1001" then co<='1';else co<='0';end if;if rst='1' then qh<="0000";ql<="0000";elsif clk'event and clk='1' thenif ql="1001" thenif qh="0101" then qh<="0000";ql<="0000";else qh<=qh+"0001";ql<="0000";end if;else ql<=ql+"0001";end if;end if;end process;qm<=qh;qn<=ql;end rtl;计数器(时钟)的仿真波形图分析:RST为复位端,qm为十位,qn为个位。