通信原理课程设计报告
通信原理大型实验课程设计实验报告

通信原理⼤型实验课程设计实验报告通信原理⼤型实验课程设计实验报告实验⼀基于A律⼗三折和u律⼗五折的PCM编解码设计要求:1、掌握Matlab的使⽤,掌握Simulink中建⽴通信模型的⽅法。
2、了解PCM编码的原理及在Simulink中的具体实现模块。
3、掌握如何观察⽰波器,来分析仿真模型的误差实验内容:1、设计⼀个A律13折线近似的PCM编解码器模型,能够对取值在[-1;1] 内的归⼀化信号样值进⾏编码。
建⽴PCM串⾏传输模型,并在传输信道中加⼊指定错误概率的随机误码。
在解码端信道输出的码流经过串并转换后送⼊PCM解码,之后输出解码结果并显⽰波形。
仿真采样率必须是仿真模型中最⾼信号速率的整数倍,这⾥模型中信道传输速率最⾼,为64kbps,故设置仿真步进为1/64000 秒。
信道错误⽐特率设为0.01,以观察信道误码对PCM传输的影响。
仿真结果波形如图所⽰,传输信号为幅度是1,频率是200Hz正弦波,解码输出存在延迟。
2、设信道是⽆噪的。
压缩扩张⽅式为u 律的,参数u=255 。
试研究输⼊信号电平与PCM量化信噪⽐之间的关系。
以正弦波作为测试信号。
PCM解码输出信号与原信号相减得出量化噪声信号,采⽤⽅差统计模块统计输出量化噪声以及原信号的功率,计算出信噪⽐。
其中参数mu设置为255。
实验结果:1、PCM编解码的原理将模拟信号的抽样量化值变换成为代码称为脉冲编码调制(PCM)2、A律编码⽅式的原理⾮均匀量化等价为对输⼊信号进⾏动态范围压缩后再进⾏均匀量化。
PCM编码模块:PCM解码模块:仿真模型:主要参数设置:“Saturation”作为限幅器,将输⼊信号幅度值限制在PCM编码的定义范围内[-1,1];“Relay”模块的门限设置为0;零阶保持器采样时间间隔为1秒,量化器模块“Quantizer”的量化间隔为1。
可见,发送信号为常数18.6时,零阶保持器每隔1秒钟采样⼀次,量化器将采样输出结果进⾏四舍五⼊量化,得到整数值19,“Integer to Bit Converter”模块的转换⽐特数设置为8,进⾏8⽐特转换。
通信原理课程设计报告

通信原理课程设计报告一、引言通信原理课程设计报告旨在总结和分析本次通信原理课程设计的过程和结果。
本报告将详细介绍课程设计的背景、目标、方法和结果,并对所得结果进行评估和讨论。
二、背景通信原理是电子信息类专业中的重要课程之一,旨在培养学生对通信原理的理论和实践应用能力。
本次课程设计以通信原理为基础,通过设计和实现一个通信系统,提高学生对通信原理的理解和应用能力。
三、目标本次课程设计的目标是设计和实现一个基于频分复用(FDM)技术的数字通信系统。
具体目标包括:1. 理解和掌握FDM技术的原理和应用;2. 设计和实现一个完整的通信系统,包括发送端、传输信道和接收端;3. 评估和分析通信系统的性能指标,如误码率、信噪比等。
四、方法本次课程设计采用以下步骤和方法:1. 确定通信系统的需求和参数,包括信号频率范围、带宽要求等;2. 设计发送端,包括信号源、调制器和功率放大器等模块;3. 设计传输信道,模拟真实通信环境,包括添加噪声、信道衰减等;4. 设计接收端,包括解调器、滤波器和信号恢复等模块;5. 实现通信系统,并进行调试和测试;6. 评估和分析通信系统的性能指标。
五、结果经过设计和实现,我们成功完成了一个基于FDM技术的数字通信系统。
以下是我们的主要结果:1. 发送端:我们设计了一个信号源,产生多个频率不同的信号,并通过调制器将这些信号转换为调制信号。
最后,我们使用功率放大器将调制信号放大到适当的功率水平。
2. 传输信道:我们模拟了真实的传输信道,并添加了噪声和信道衰减。
这样可以更好地评估通信系统在实际环境下的性能。
3. 接收端:我们设计了一个解调器,通过解调器将接收到的信号转换为原始信号。
然后,我们使用滤波器去除噪声,并对信号进行恢复和解码。
4. 性能评估:我们评估了通信系统的性能指标,包括误码率、信噪比等。
通过对这些指标的分析,我们可以判断通信系统的可靠性和稳定性。
六、讨论通过本次课程设计,我们对通信原理的理论知识有了更深入的理解,并且掌握了实际应用的能力。
通信原理设计实验报告(3篇)

第1篇一、实验目的1. 理解通信原理的基本概念和原理。
2. 掌握通信系统中的信号传输、调制解调、信道编码和解码等基本技术。
3. 通过实验验证通信原理在实际系统中的应用,提高实际操作能力。
二、实验内容1. 信号传输实验(1)实验目的:验证信号传输过程中的基本特性,如幅度调制、频率调制、相位调制等。
(2)实验原理:通过改变输入信号的幅度、频率和相位,观察输出信号的相应变化,分析调制和解调过程。
(3)实验步骤:① 设计信号传输系统,包括调制器、传输信道和解调器;② 选择合适的调制方式,如AM、FM、PM等;③ 通过实验验证调制和解调过程,分析输出信号的特性;④ 分析实验结果,总结调制和解调过程中的关键因素。
2. 调制解调实验(1)实验目的:研究调制解调技术在通信系统中的应用,掌握调制解调的基本原理和方法。
(2)实验原理:通过实验验证调制解调过程,分析调制解调器的性能指标,如调制指数、解调误差等。
(3)实验步骤:① 设计调制解调系统,包括调制器、解调器和信道;② 选择合适的调制方式和解调方式,如AM、FM、PM、PSK、QAM等;③ 通过实验验证调制解调过程,分析调制解调器的性能指标;④ 分析实验结果,总结调制解调过程中的关键因素。
3. 信道编码和解码实验(1)实验目的:研究信道编码和解码技术在通信系统中的应用,掌握信道编码和解码的基本原理和方法。
(2)实验原理:通过实验验证信道编码和解码过程,分析编码和解码的性能指标,如误码率、信噪比等。
(3)实验步骤:① 设计信道编码和解码系统,包括编码器、信道和解码器;② 选择合适的信道编码方式,如BCH码、RS码等;③ 通过实验验证信道编码和解码过程,分析编码和解码的性能指标;④ 分析实验结果,总结信道编码和解码过程中的关键因素。
4. 通信系统综合实验(1)实验目的:综合运用通信原理中的各种技术,设计一个简单的通信系统,并验证其性能。
(2)实验原理:将上述实验中的技术综合应用于通信系统,验证系统的整体性能。
通信原理课设报告 陕西科技大学

目录1 选题背景 (1)2 方案论证 (1)3 设计论述 (2)3.1.1模拟调制系统 (2)3.1.2 双边带调制(DSB)原理 (2)3.1.3 DSB-SC的调制与解调程序 (3)3.2 数字频带通信系统 (3)3.2.1 数字频带通信系统 (3)3.2.2 二进制相移键控(2PSK) (4)3.2.3 2PSK调制与解调程序 (5)3.3 数字基带传输系统 (6)3.3.1 数字基带传输系统 (6)3.3.2数字基带传输系统特性 (6)3.3.3 数字基带传输系统程序 (8)4 程序分析 (10)4.1 运行结果 (10)4.1.1 DSB-SC的调制与解调结果 (10)4.1.2 2PSK调制与解调结果 (11)4.1.3 数字基带传输系统结果 (12)4.2 结果分析 (13)5 总结及体会 (14)参考文献 (15)1 选题背景随着数字通信技术和计算机技术的快速发展以及通信网络的相互融合,信息科学技术已成为21世纪国际社会和世界经济发展的新的强大动力。
信息作为一种资源,只有通过广泛地交流,才能产生利用价值,促进社会成员之间的合作,推动社会生产力的发展,创造出巨大的经济效益。
而信息的传播与交流,是依靠各种通信方式和技术来实现的。
学习和掌握现代通信理论和技术是信息社会成员,尤其是未来的通信工作者的工作基础。
通信原理仿真设计一般是基于MATLAB软件,加深对理论知识的理解。
通过编程设计,以期待达到两个目的:其一是通过使学生受到设计方法的初步训练,逐步树立正确的设计观点,增强设计能力、创新能力和综合能力;其二是通过MATLAB仿真过程了解仿真软件的使用,通过仿真结果分析对基本通信系统原理的理解。
对于我们电子信息工程专业的学生,掌握现代通信理论和技术是本科教学的基本要求。
特别是对我即将面临就业的大三学生,掌握这些基本的软件使用以及理解和掌握书中最基础的概念是非常重要的。
通过通信原理课程设计来加深我们对理论知识的掌握,并提高了我们的动手实践能力,不论对今后的继续深造,还是为下学期找工作来说,这都是提高自身素质的途径。
通信原理课程设计报告信道

通信原理课程设计报告信道一、课程目标知识目标:1. 让学生理解并掌握通信原理中信道的基本概念、分类及特性;2. 使学生了解信道编码、解码的基本原理,掌握常见的信道编码技术;3. 引导学生掌握信道容量、信道带宽等关键参数的计算方法。
技能目标:1. 培养学生运用通信原理知识分析实际信道问题的能力;2. 提高学生设计简单信道编码、解码方案的能力;3. 培养学生运用计算工具对信道参数进行计算和优化的能力。
情感态度价值观目标:1. 激发学生对通信原理学科的兴趣,培养良好的学习态度;2. 培养学生团队协作、沟通交流的能力,形成合作共赢的价值观;3. 引导学生关注通信技术在现实生活中的应用,认识到科技发展对社会的贡献。
课程性质分析:本课程为通信原理课程的实践环节,旨在帮助学生将理论知识与实际应用相结合,提高解决实际问题的能力。
学生特点分析:高二年级学生已具备一定的物理和数学基础,具备初步的分析问题和解决问题的能力,但对通信原理的实际应用尚不熟悉。
教学要求:1. 注重理论与实践相结合,提高学生的实际操作能力;2. 采用案例分析、小组讨论等教学方法,引导学生主动参与、积极思考;3. 强调课程目标的可衡量性,便于教学设计和评估。
二、教学内容1. 信道概念及分类:介绍信道的定义、分类(如有线信道、无线信道、模拟信道、数字信道等)及特性;2. 信道编码与解码:讲解信道编码的基本原理,如卷积编码、汉明编码等,以及解码方法;3. 信道参数计算:阐述信道容量、信道带宽等关键参数的计算方法;4. 信道模型:介绍常见的信道模型,如AWGN信道、多径信道等;5. 信道仿真:利用相关软件进行信道仿真,分析不同信道特性对通信系统性能的影响;6. 实践环节:设计简单信道编码方案,进行编码、解码实验,观察实验结果,优化方案。
教学内容安排与进度:1. 第1周:信道概念及分类,信道特性;2. 第2周:信道编码与解码原理;3. 第3周:信道参数计算方法;4. 第4周:信道模型及仿真;5. 第5周:实践环节,设计、实验和优化信道编码方案。
通信原理课程设计报告-电信081班-裴颖-08808019

目录1.引言 (1)1.1 设计目的 (1)1.2 课程设计内容及任务要求 (1)2.中波调幅幅广播系统的模型及仿真环境 (1)2.1.MATLAB及Simulink建模环境简介 (1)2.2调幅广播系统介绍 (2)2.3 中波调幅广播传输系统模型参数指标 (2)3. 中波调幅广播传输系统的建立与仿真 (3)3.1仿真参数设计 (3)3.2系统中仿真模块参数的设置 (4)3.3 Scope 端的最终波形图及其比较级 (5)4 总结与体会 (11)参考文献 (12)1.引言1.1 设计目的1.学习使用计算机建立通信系统仿真模型的基本方法及基本技能,学会利用仿真的手段对于实用通信系统的基本理论、基本算法进行实际验证;2.学习现有流行通信系统仿真软件simulink的基本使用方法,学会使用这些软件解决实际系统出现的问题;3. 通过系统仿真加深对通信课程理论的理解;4. 用simulink 完成调幅广播系统的仿真。
1.2 课程设计内容及任务要求用MATLAB及simulink进行仿真设计一个中波调幅广播传输系统,其参数要求有:1)基带信号:音频,最大幅度1;频率:100~6000Hz可调2)载波:幅度一定,正弦波,550~1605KHz可调;3)接收机带宽:12KHz,中心频率1000Hz;在对中波调幅广播传输系统的设计中,其具体要求有以下几点:1)设计噪声模块,噪声可调;2)计算系统工作参数,设置仿真参数;3)建立仿真模型,观测发送条幅信号以及接收机输出;分析结果;4)改变噪声,分析噪声对输出的影响。
2.中波调幅幅广播系统的模型及仿真环境2.1.MATLAB及Simulink建模环境简介MATLAB 是美国MathWorks公司出品的商业数学软件,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB和Simulink两大部分。
Simulink是MATLAB最重要的组件之一,它提供一个动态系统建模、仿真和综合分析的集成环境。
通信原理课程设计报告

通信原理课程设计实验报告AM传输系统设计09通信Y2谷庆先09121707通信原理课程设计实验实验名称:AM传输系统设计班级:09通信Y2本组成员:谷庆先09121707郇梁09121709黄向荣09121710王鑫09121722实验目的:1、学习、掌握单片机的开发过程;2、学习、掌握可编程器件的开发过程3、学习AM调制和同步检波的过程实验要求:1、产生<1KHZ正弦波信号;2、产生24KHZ~32KHZ的载波信号3、由乘法器得到AM信号和DSB信号4、由乘法器实现同步检波实验器材:1、JH5006综合设计实验箱一台2、单片机仿真器一台;3、Keil单片机开发环境一台;4、MaxplusII开发环境一台;5、JTAG下载电缆一根;6、CPLD下载板一块;7、微机一台;8、示波器一台;实验原理:信号源产生模块由JH5006单片机模块产生低频正弦波。
载波信号由JH5006实验箱上的EPLD(1)模块产生,由该模块产生一高频方波送至,滤波器模块。
经带通滤波的到正弦载波。
或直接用高频方波作为载波信号进行调制。
AM调制器模块由JH5006实验箱的乘法器模块的UF01实现。
调节WF01可分别得到AM信号和DSB信号。
AM解调器由JH5006实验箱的乘法器模块的UF02和滤波器模块的低通滤波器共同完成。
其中同步检波的载波与调制载波来之同一信号源。
乘法器输出信号送入低通滤波器后得到解调信号硬件电路该课题在JH5006 实验系统中完成,每个模块的电路见JH5006实验指导书。
其中信号源和载波发生器有多种实现方案,推荐由单片机模块产生低频信号,由EPLD(1)的EMP7128产生高频载波。
由于EPM7128仅能产生方波,因此推荐用方波做载波;或对滤波器模块的带通滤波器重新设计,以便对方波滤波,得到需要的正弦载波。
若要在AM信号中加入噪声,可将AM信号接入噪声模块,用加法器混入噪声。
实验设计编程的代码如下:三角波程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity delta isport(main_clk:in std_logic;q:out std_logic_vector(7 downto 0);cs:out std_logic;wr:out std_logic;da_ca:out std_logic);end delta;architecture delta_arc of delta isconstant n:integer:=3;signal counter:integer range 0 to n;signal clk:std_logic;signal clk1:std_logic;begincs<='0';wr<='0';da_ca<='0';process(main_clk)beginif rising_edge(main_clk) thenif counter=n thencounter<=0;clk<=not clk;elsecounter<=counter+1;end if;end if;end process;clk1<=clk;process(clk1)variable tmp:std_logic_vector(7 downto 0); variable a:std_logic;beginif clk1'event and clk1='1' thenif a='0' thenif tmp="11111110" thentmp:="11111111";a:='1';elsetmp:=tmp+1;end if;elseif tmp="00000001" thentmp:="00000000";a:='0';elsetmp:=tmp-1;end if;end if;end if;q<=tmp;end process;end delta_arc;载波程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fengpin isport(clk,sw_d0,sw_d1,sw_d2,sw_d3,sw_d4,sw_d5,sw_d6,sw_d7:in std_logic;q:out std_logic);end fengpin;architecture behav of fengpin issignal count:std_logic_vector(7 downto 0);signal div_clk:std_logic_vector(7 downto 0);begindiv_clk<=sw_d7&sw_d6&sw_d5&sw_d4&sw_d3&sw_d2&sw_d1&sw_d0;a:process(clk)beginif(rising_edge(clk)) thenif(count="11111111") thencount<="00000000";elsecount<=count+'1';end if;end if;end process a;b:process(clk)begincase div_clk iswhen "00000001"=>q<=count(0);when "00000010"=>q<=count(1);when "00000100"=>q<=count(2);when "00001000"=>q<=count(3);when "00010000"=>q<=count(4);when "00100000"=>q<=count(5);when "01000000"=>q<=count(6);when "10000000"=>q<=count(7);when others=>q<=count(7);end case;end process b;end behav;噪音程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY noise ISPORT(Main_CLK: IN STD_LOGIC;m_out: OUT STD_LOGIC);END noise;ARCHITECTURE behave OF noise ISSIGNAL div_main: S TD_LOGIC_VECTOR(12 DOWNTO 0);SIGNAL clks: STD_LOGIC;SIGNAL mc: STD_LOGIC_VECTOR(14 DOWNTO 0);SIGNAL md: STD_LOGIC_VECTOR(21 DOWNTO 0); BEGINPROCESS(div_main,Main_CLK)BEGINIF(Main_CLK'EVENT AND Main_CLK='1')THENdiv_main<=div_main+1;END IF;END PROCESS;clks<=div_main(12);PROCESS(clks,mc)BEGINIF(clks'EVENT AND clks='1')THENif mc=0 thenmc(0)<='1';elsemc(13 downto 0)<=mc(14 downto 1);mc(14)<=mc(0) xor mc(1);END if;end if;END PROCESS;PROCESS(main_clk,md)BEGINIF(main_clk'EVENT AND main_clk='1')THENif md=0 thenmd(0)<='1';elsemd(20 downto 0)<=md(21 downto 1);md(21)<=md(0) xor md(1);END if;end if;END PROCESS;m_out<=md(0) xor mc(0);END behave;乘法、滤波、加法电路n.d实验结果与波形:三角波波形噪声波形载波波形实验心得与体会一周的课程设计结束了,在这次的课程设计中不仅检验了我所学习的知识,也培养了我如何去把握一件事情,如何去做一件事情,又如何完成一件事情。
通信原理课程设计报告摘要

通信原理课程设计报告摘要一、课程目标知识目标:1. 让学生理解并掌握通信原理的基本概念,包括信号、信道、噪声等;2. 使学生掌握调制解调技术、信号采样与恢复、信道编码与解码等基本通信技术;3. 引导学生了解各种通信系统的结构、原理及其在实际应用中的优缺点。
技能目标:1. 培养学生运用通信原理分析和解决实际通信问题的能力;2. 培养学生设计简单通信系统的能力,包括选择合适的调制解调技术、信道编码方案等;3. 提高学生运用通信原理相关软件进行仿真实验的能力。
情感态度价值观目标:1. 培养学生对通信原理的兴趣,激发他们学习通信相关领域的热情;2. 培养学生团队合作精神,使他们学会在团队中共同解决问题;3. 增强学生的国家使命感和社会责任感,让他们意识到通信技术在国家发展和社会进步中的重要作用。
本课程针对高中年级学生,结合通信原理的学科特点,注重理论与实践相结合。
在教学过程中,充分考虑学生的认知水平、兴趣和需求,以实际通信问题为切入点,引导学生主动探究、积极思考。
通过课程学习,使学生在掌握基本通信原理的基础上,能够将其应用于实际问题解决,同时培养他们的创新意识和实践能力。
二、教学内容1. 通信原理基本概念:信号与系统、信道、噪声;2. 信号分析与处理:傅里叶变换、信号采样与恢复;3. 调制解调技术:模拟调制、数字调制、解调技术;4. 信道编码与解码:汉明码、卷积码、Turbo码;5. 通信系统实例分析:电话通信系统、无线通信系统、光纤通信系统;6. 通信原理在实际应用中的案例分析:5G通信、物联网、卫星通信。
教学内容按照以下进度安排:第一周:通信原理基本概念;第二周:信号分析与处理;第三周:调制解调技术;第四周:信道编码与解码;第五周:通信系统实例分析;第六周:通信原理在实际应用中的案例分析。
本章节教学内容参考教材相关章节,结合课程目标,注重科学性和系统性。
在教学过程中,教师需引导学生掌握通信原理的基本知识和技能,通过实例分析,让学生了解通信技术在实际应用中的发展及其对社会的影响。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
中原工学院课程设计任务书目录1、概述 (3)2、设计要求 (4)2.1、课程设计组织形式 (4)2.2、课程设计具体要求 (4)2.3、分析容要求 (5)3、软件简介 (5)4、设计容原理简介 (8)4.1、2DPSK系统组成原理 (8)4.2、误比特率(BER:Bit Error Rate) (8)4.3、2DPSK系统误比特率测试的结构框图 (9)4.4、相干2DPSK系统误比特率测试的仿真模型的建立 (10)4.5、仿真结果及相干2DPSK系统误比特率曲线绘制 (11)5、仿真模型的建立及结果分析 (11)5.1 2DPSK的高频差分解调 (11)5.2 2DPSK高频相干解调 (12)5.3 2DPSK低频相干解调 (14)5.3.1 2DPSK相干解调仿真图 (14)5.3.2 观察并分析“分析窗口”的波形 (15)5.4低频差分解调 (18)6、总结及心得体会 (22)7、参考文献 (23)1、概述《通信原理》课程设计是通信工程、电子信息工程专业教学的重要的实践性环节之一,《通信原理》课程是通信、电子信息专业最重要的专业基础课,其容几乎囊括了所有通信系统的基本框架,但由于在学习中有些容未免抽象,而且不是每部分容都有相应的硬件实验,为了使学生能够更进一步加深理解通信电路和通信系统原理及其应用,验证、消化和巩固其基本理论,增强对通信系统的感性认识,培养实际工作能力和从事科学研究的基本技能,在通信原理的理论教学结束后我们开设了《通信原理》课程设计这一实践环节。
Systemview是ELANIX公司推出的一个完整的动态系统设计、模拟和分析的可视化仿真平台。
从滤波器设计、信号处理、完整通信系统的设计与仿真,直到一般的系统数学模型建立等各个领域, Systemview 在友好而且功能齐全的窗口环境下,为用户提供了一个精密的嵌入式分析工具。
它作为一种强有力的基于个人计算机的动态通信系统仿真工具,可达到在不具备先进仪器的条件下也能完成复杂的通信系统设计与仿真的目的,特别适合于现代通信系统的设计、仿真和方案论证,尤其适合于无线、无绳、寻呼机、调制解调器、卫星通讯等通信系统;并可进行各种系统时域和频域分析、谱分析,及对各种逻辑电路、射频/模拟电路(混合器、放大器、RLC电路、运放电路等)进行理论分析和失真分析。
在通信系统分析和设计领域具有广阔的应用前景。
在本课程设计中学生通过运用先进的仿真软件对通信系统进行仿真设计,既可深化对所学理论的理解,完成实验室中用硬件难以实现的大型系统设计,又可使学生在实践中提高综合设计及分析解决实际问题的能力,加强系统性和工程性的训练。
2、设计要求2.1、课程设计组织形式课程设计过程按分组的方式进行,由指导教师向学生发放有关的课程设计背景资料,并向学生讲述课程设计的方法、步骤和要求,设计过程采取课堂集中辅导,分散设计的方式进行。
课程设计按2~3个人为一组,要求在小组分工协作、充分讨论、相互启发的基础上形成设计方案,课程设计结束要求提交一份课程设计报告书,必要时可要求各小组选出一个代表,进行课程设计方案演示和答辩,评出若干优秀设计成果。
2.2、课程设计具体要求(1)建立系统模型:根据通信系统的基本原理确定总的系统功能,并将各部分功能模块化,根据各个部分之间的关系,画出系统框图。
(2)基本系统搭建和图标定义:从各种功能库中选取满足需要的可视化图符和功能模块,组建系统,设置各个功能模块的参数和指标,在系统窗口按照设计功能框图完成图标的连接;(3)调整参数,实现系统模拟参数设置,包括运行系统参数设置(系统模拟时间、采样速率等)等。
(4)运行结果分析:在系统的关键点处设置观察窗口,利用接收计算器分析仿真数据和波形,用于检查、监测模拟系统的运行情况,以便及时调整参数,分析结果。
2.3、分析容要求(1).观测仿真过程中原始基带信号波形、差分码波形、2DPSK 信号波形、本地载波、解调端相乘器输出、低通滤波器输出、抽样判决输出波形以及码反变换后的输出波形。
观测输入和输出波形的时序关系;(2).在2DPSK系统中,“差分编码/译码”环节的引入可以有效地克服接收提取的载波存在180°相位模糊度,即使接收端同步载波与发送端调制载波间出现倒相180°的现象,差分译码输出的码序列不会全部倒相。
重新设置接收载波源的参数,将其中的相位设为180°,运行观察体会2DPSK系统时如何克服同步载波与调制载波间180°相位模糊度的。
(3)、利用建立的SystemView DPSK系统相干接收的仿真模型进行BER测试,产生该系统的BER曲线以此评估通信系统的性能;它以相干接收DPSK调制传输系统为误比特率分析对象,信道模型为加性高斯白噪声信道,利用全局参数功能通过设置循环来改变噪声功率得到不同信噪比下的误比特率,3、软件简介SystemView是美国Elanix公司推出的基于Windows环境下运行的用于系统仿真分析的可视化工具。
利用该软件可以进行通信系统的仿真,也可以构建一些复杂的模拟、数字和多速率系统。
SystemView的图符资源十分丰富,包括基本库和专业库。
基本库中有加法器、乘法器、多种信号源、接收器、各种函数运算器等;专业库有通信、逻辑、数字信号处理、射频/模拟等特别适合于现代通信系统设计、仿真和方案论证,其特点简要介绍如下:1.强大的动态系统设计与仿真功能SystemView 提供了开发电子系统的模拟和数字工具,包含几百种信号源、接收端、操作符和功能块,各功能模块都用形象直观的图符表示,使用SystemView不用编程,只需用鼠标从SystemView 库中选择图符,并将他们拖到设计窗口中就可以构造出各种线性和非线性、离散和连续、模拟、数字、模数混合系统以及各种多速率系统,可用于各种线性和非线性控制系统的仿真。
由于SystemView的所有图符都有相似的参数定义窗口,我们所做的只是根据需要修改所调用图符的参数。
2.方便快捷SystemView使用了用户熟悉的Windows界面的功能键,用户可以方便快捷地在设计窗口和分析窗口之间切换,对所设计系统进行时域和频域分析,分析窗口中的分析结果以图形直观显示,使得对所设计系统的修改、调试简单易行,达到实时修改、实时显示的操作效果。
用户还可以在屏幕上给设计系统加上注解,在SystemView高版本中,系统输出的波形图可以方便的插入Word 中进行编辑。
3.提供基于组织结构图方式的设计通过利用图符和MetaSystem(子系统)对象的无限制分层结构功能,SystemView能够很容易地建立复杂的系统。
用户首先可以定义一些简单的功能组,再通过对这些简单功能组的连接进而实现一个大的系统,利用系统提供的子系统结构功能可以将这个大系统形成一个对应的子系统,这样,单一的图符就可以代表一个复杂的系统。
MataSystem的操作方法与系统提供的其它图符的使用方法类同,只要用鼠标器单击一下该子系统,就会出现一个特定的窗口来显示出复杂的MataSystem结构。
但是在SystemView的学习版中没有MataSystem图符功能,必须升级到专业版才有此功能。
4.完备的滤波器和线性系统设计SystemView包含一个功能强大的、很容易使用的图形模板设计环境,便于模拟和数字以及离散和连续时间系统的设计,同时还包含大量的FIR/IIR滤波器类型和FFT类型,并提供了便于用DSP 实现滤波器或线性系统的参数。
5.多速率系统和并行功能SystemView可以对具有多种数据采样率输入的系统进行合并,以简化FIR滤波器的执行,这种特性尤其适合于同时具有低通和高通部分的通信系统的设计和仿真,该特性有效地提高了整个系统的仿真速度,而在局部又不会降低仿真的精度,同时还降低了系统对计算机硬件配置的要求。
6.先进的信号分析和数据块处理SystemView的分析窗口是一个能够对系统波形进行详细检查的交互式可视环境。
分析窗口还提供了一个能对仿真生成的数据进行先进的块处理操作的接受计算器。
接受计算器的块处理功能十分强大,容也相当广泛,能够完全满足通常所需要的分析要求。
这些功能包括:应用DSP窗口、余切、自动并联、平均值、复杂的FFT、常量窗口、卷积、余弦、交叉并联、习惯显示、十进制、微分、除窗口、眼图模式、功能比例尺、柱状图、覆盖统计、自相关、功率谱、分布图、平方、平方根、波形求和、窗口幂、窗口函数等等。
7.可扩展性SystemView允许用户输入数据,并对其进行处理,也可以将处理结果输出到外部数据文件。
另外,他还提供了与VC++及Matlab的接口,用户可以方便地调用其函数或自定义图标功能。
8.完善的自我诊断功能SystemView 能自动执行系统连接检查,并显示连接的出错信息和指出出错的图符,这个特点对用户系统的诊断是十分有效的。
总之, SystemView 支持嵌入式系统和多层子系统、全部的逻辑功能、开关和非线性装置组件,完整的信号源,部系统诊断和连接检查,分析窗口的多图显示,在线帮助系统,使你能够快速方便地设计、开发和测试各种系统。
是目前国际上较优秀的系统设计和仿真软件。
4、设计容原理简介4.1、2DPSK 系统组成原理2DPSK 系统组成原理如图3-1所示,系统中差分编、译码器是用来克服2PSK 系统中接收提取载波的180°相位模糊度。
4.2、误比特率(BER :Bit Error Rate )误比特率(BER :Bit Error Rate )是指二进制传输系统出现码传输错误的概率,也就是二进制系统的误码率,它是衡量二进制数字调制系统性能的重要指标,误比特率越低说明抗干扰性能越强。
对于多进制数字调制系统,一般用误符号率(Symble Error Rate )表示,误符号率和误比特率之间可以进行换算,例如采用格雷编码的MPSK 系统,其误比特率和误符号率之间的换算关系近似为:PN 码发生器 差 分 编码器 2PSK 系 统 差 分 译码输出图4-1 2DPSK 系统组成 MP P MPSKs MPSK b 2,,log其中,M为进制数,且误比特率小于误符号率。
4.3、2DPSK系统误比特率测试的结构框图在二进制传输系统中误比特率BER( Bit Error Rate)是指出现码传输错误的概率,误比特率越低说明抗干扰性能越强。
几种基本的数字调制方式中,2PSK具有最好的误码率性能,但2PSK 信号传输系统中存在相位不确定性,易造成接收码元“0”和“1”的颠倒,产生误码。
这个问题将直接影响2PSK信号用于长距离传输。
为克服此缺点并保存2PSK信号的优点,采用二进制差分相移键控(2DPSK),2DPSK信号的产生原理、调制解调的方法以及误比特率的分析也是通信原理教学中的一个重点和难点。